版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、籃球比賽記分牌設(shè)計題目用 PLD器件 EP1K10TC100-3及 7 段譯碼顯示數(shù)碼管,設(shè)計一個籃球比賽記分牌,具體要求如下:設(shè)計要求1、 根據(jù)比賽實際情況記錄兩隊得分,罰球進的1 分,進球的2 分;2、 記分牌要具有糾錯功能,能減1 分、 2 分功能;3、 利用 3 個譯碼顯示管輸出比賽的分;設(shè)計過程指導(dǎo)教師評語評定課成績程設(shè)計等級( 包括 : 設(shè)計方案 上機設(shè)計與仿真結(jié)果 硬件實驗方案,及實驗結(jié)果 收獲 和體會 )EDA 技術(shù)以硬件描述語言來描述系統(tǒng)級設(shè)計,采用自頂向下的設(shè)計方法,并支持系統(tǒng)仿真和高層綜合。 VHDL語言具有具有很強的行為描述能力和多層次描述硬件功能的能力,是系統(tǒng)設(shè)計領(lǐng)域
2、中使用最多的硬件描述語言之一;具有標(biāo)準(zhǔn)、規(guī)等優(yōu)勢,能在設(shè)計的各個階段對電路系統(tǒng)進行仿真和模擬,使設(shè)計者在系統(tǒng)的設(shè)計早期就能檢查設(shè)計系統(tǒng)的功能,極大的減少了可能發(fā)生的錯誤,減少了開發(fā)成本。設(shè)計方案:利用一個 D 觸發(fā)器, 3 個 4 位二進制全加器, 一個二選一數(shù)據(jù)選擇器, 3 個七段譯碼顯示管組成電路,此電路具有加減、復(fù)位、顯示等功能。能夠滿足比賽的實際要求。.目錄11.11.222.12.2345 ()67.一、 課程設(shè)計題目、容與要求1.1 課程設(shè)計的題目 : 籃球比賽記分牌1.2 課程設(shè)計容:1、 根據(jù)比賽實際情況記錄兩隊得分, 罰球進的 1 分,進球的 2 分;2、 記分牌要具有糾錯功
3、能,能減1 分、 2 分功能;3、 利用 3 個譯碼顯示管輸出比賽的分;二、 系統(tǒng)設(shè)計2.1 設(shè)計思路:籃球比賽記分牌是記錄兩隊比賽的得分情況,并能夠進行糾錯功能;根據(jù)系統(tǒng)設(shè)計的要求,籃球記分牌的電路原理框圖如下:2.2系統(tǒng)原理與設(shè)計說明系統(tǒng)各個模塊的功能如下:.1、D觸發(fā)器電路模塊實現(xiàn)翻轉(zhuǎn)功能當(dāng)出錯時,輸出為1,使電路回到上一個正確的狀態(tài)。2、4 為二進制全加器電路模塊實現(xiàn)加法計數(shù)功能。3、移位寄存器電路模塊保存比賽兩隊得分情況的4 個相鄰狀態(tài),出錯時將調(diào)用上一個正確狀態(tài)。4、二選一數(shù)據(jù)選擇器電路模塊用來控制移位寄存器5、 LED 數(shù)碼管驅(qū)動電路模塊三、系統(tǒng)實現(xiàn)各模塊電路的源程序如下:1、D
4、觸發(fā)器電路模塊及程序:set 輸入 (Q=1),清零應(yīng)該可以用復(fù)位鍵reset 吧(Q=0)。library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clkevent and clk=1) thenif(
5、set=0 and reset=1) thenq=1;qb=0;elsif (set=1 and reset=0) thenq=0;qb=1;else.q=d;qb=not d;end if;end if;end process;end rtl_arc;2、移位寄存器模塊電路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic
6、;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0);end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0); beginprocess(CLK)beginif rising_edge(CLK) thenif CE = 1 thenif CLR = 1
7、thenTEMP_data_out = 0000;elsif SET = 1 thenTEMP_data_out = 1111;elsif LOAD = 1 thenTEMP_data_out = DATA;elseif DIR = 1 thenTEMP_data_out = SI & TEMP_data_out(3 downto 1); else.TEMP_data_out = TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out = TEMP_data_out;end architec
8、ture;3、二選一數(shù)據(jù)選擇器電路模塊及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginqa1,b=b1,sum=sum1,hcarry=cout1);.u2:fulladdPORTMAP(in1=a2,in2=b2,cin=cout1,fsum=sum2,fcarry=cout2);u3:fulladdPORTMAP(in1=a3,in2=b3,cin=cout2,fsum=sum3,fcarry=cout3);u4:fulladdPORTMAP(in1=
9、a4,in2=b4,cin=cout3,fsum=sum4,fcarry=cout4);END add_arc;5、七段譯碼電路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0);end deled;architecture func of deled isbeginprocess(datain)beginif datain= 0000 then qout=1111
10、110;elsif datain= 0001 then qout=0110000;elsif datain= 0010 then qout=1101101;elsif datain= 0011 then qout=1111001;elsif datain= 0100 then qout=0110011;elsif datain= 0101 then qout=1011011;elsif datain= 0110 then qout=1011111;elsif datain= 0111 then qout=1110000;elsif datain= 1000 then qout=1111111;
11、elsif datain= 1001 then qout=1111011;else null;end if;end process;end func;四、系統(tǒng)仿真1、D觸發(fā)器電路模塊仿真波形:.2、移位寄存器模塊電路仿真波形:3、二選一數(shù)據(jù)選擇器電路模塊仿真波形:4、加法計數(shù)器的電路模塊仿真波形:.5、七段譯碼電路仿真波形:五硬件驗證說明這次設(shè)計采用的硬件電路有芯片 EP1K10TC100-3,實驗板上標(biāo)準(zhǔn)時鐘電路、 LED 顯示等,.六、總結(jié)此課題說明了使用 VHDL語言設(shè)計數(shù)字電路的方法以及 VHDL語言在數(shù)字電路設(shè)計仿真中的重要作用 , 仿真結(jié)果表明 VHDL 語言應(yīng)用于數(shù)字電路仿真是切
12、實可行的 , 該語言在電子設(shè)計領(lǐng)域受到了廣泛的接受。用 VHDL硬件描述語言的形式來進行數(shù)字系統(tǒng)的設(shè)計方便靈活,利用EDA軟件進行編譯優(yōu)化仿真極減少了電路設(shè)計時間和可能發(fā)生的錯誤,降低了開發(fā)成本,這種設(shè)計方法必將在未來的數(shù)字系統(tǒng)設(shè)計中發(fā)揮越來越重要的作用。通過對本設(shè)計項目的研究,使我進一步了解了EDA、數(shù)字電路等多門課程 ,使得所學(xué)的專業(yè)知識有機地結(jié)合起來, 得到了實踐和運用的機會, 且通過實踐和運用鞏固了相關(guān)的理論知識, 提高了工程實踐能力。 例如 : 使用 MAX+PLUSII軟件和硬件實驗平臺都得到了充分的鍛煉。設(shè)計過程中 , 由于知識的局限性 , 我們遇到過很多困難,不知這樣把各個功能模塊很好的結(jié)合起來 , 耗費了大了量的時間 , 但我們并沒放棄 , 克服困難,逐步摸索方法,找到問題所在,縮短了設(shè)計周期。從本設(shè)計看出 , 要做真正的設(shè)計、研究 , 我們現(xiàn)在的知識還遠遠不夠 , 還需學(xué)習(xí)更
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 茶園互換合同
- 財務(wù)合同管理崗位風(fēng)險
- 貝雷片租賃合同范本
- 保險合同十句話
- 山西省2024八年級物理上冊第六章質(zhì)量與密度專題訓(xùn)練12.理解質(zhì)量和密度課件新版新人教版
- 深圳市中薈高級中學(xué)2024-2025學(xué)年高三上學(xué)期期中考試數(shù)學(xué)試卷
- 《船用鋼質(zhì)斜梯》
- 貴州省貴陽市觀觀山湖區(qū)美的中學(xué)2024-2025學(xué)年九年級上學(xué)期11月期中考試化學(xué)試題
- 無鹵低煙阻燃電纜料相關(guān)項目投資計劃書
- 石英玻璃管(棒)相關(guān)行業(yè)投資規(guī)劃報告
- 一例肺癌術(shù)后并發(fā)肺栓塞患者的個案護理
- 2022版新課標(biāo)初中數(shù)學(xué)《數(shù)與代數(shù)、圖形與幾何》解讀
- 心房顫動診斷和治療中國指南(2023) 解讀
- 中式面點技藝智慧樹知到期末考試答案2024年
- 期中模擬試卷(試題)2023-2024學(xué)年外研版(一起)英語五年級上冊
- 幼兒園主題探究活動
- 唐宋名家詞智慧樹知到期末考試答案2024年
- 2024年4月貴州省高三年級適應(yīng)性考試歷史試卷
- 臨“震”不慌+守護生命安全-防震減災(zāi)安全教育原創(chuàng)
- 數(shù)字媒體藝術(shù)設(shè)計大學(xué)生職業(yè)生涯規(guī)劃書
- 2023年紹興市嵊州市事業(yè)單位招聘考試真題及答案
評論
0/150
提交評論