多功能數(shù)字鐘(課程設(shè)計(jì)版)_第1頁(yè)
多功能數(shù)字鐘(課程設(shè)計(jì)版)_第2頁(yè)
多功能數(shù)字鐘(課程設(shè)計(jì)版)_第3頁(yè)
多功能數(shù)字鐘(課程設(shè)計(jì)版)_第4頁(yè)
多功能數(shù)字鐘(課程設(shè)計(jì)版)_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、題 目: 多功能數(shù)字鐘電路設(shè)計(jì)器材:74LS390,74LS48,數(shù)碼顯示器BS202, 74LS00 3片,74LS04,74LS08,電容,開(kāi)關(guān),蜂鳴器,電阻,導(dǎo)線要求完成的主要任務(wù):用中、小規(guī)模集成電路設(shè)計(jì)一臺(tái)能顯示日、時(shí)、分秒的數(shù)字電子鐘,要求如下:1.由晶振電路產(chǎn)生1HZ標(biāo)準(zhǔn)秒信號(hào)。2.秒、分為00-59六十進(jìn)制計(jì)數(shù)器。3.時(shí)為00-23二十四進(jìn)制計(jì)數(shù)器。4.可手動(dòng)校正:能分別進(jìn)行秒、分、時(shí)的校正。只要將開(kāi)關(guān)置于手動(dòng)位置??煞謩e對(duì)秒、分、時(shí)進(jìn)行連續(xù)脈沖輸入調(diào)整。5.整點(diǎn)報(bào)時(shí)。整點(diǎn)報(bào)時(shí)電路要求在每個(gè)整點(diǎn)前鳴叫五次低音(500HZ),整點(diǎn)時(shí)再鳴叫一次高音(1000HZ)。時(shí)間安排:指導(dǎo)教

2、師簽名: 年 月 日系主任(或責(zé)任教師)簽名: 年 月 日索引摘要1Abstract21系統(tǒng)原理框圖32方案設(shè)計(jì)與論證42.1時(shí)間脈沖產(chǎn)生電路42.2分頻器電路62.3時(shí)間計(jì)數(shù)器電路72.4譯碼驅(qū)動(dòng)及顯示單元電路82.5校時(shí)電路82.6報(bào)時(shí)電路103單元電路的設(shè)計(jì)123.1時(shí)間脈沖產(chǎn)生電路的設(shè)計(jì)123.2計(jì)數(shù)電路的設(shè)計(jì)123.2.1 60進(jìn)制計(jì)數(shù)器的設(shè)計(jì)123.2.2 24進(jìn)制計(jì)數(shù)器的設(shè)計(jì)133.3 譯碼及驅(qū)動(dòng)顯示電路143.4 校時(shí)電路的設(shè)計(jì)143.5 報(bào)時(shí)電路163.6電路總圖174仿真結(jié)果及分析184.1時(shí)鐘結(jié)果仿真184.2 秒鐘個(gè)位時(shí)序圖184.3報(bào)時(shí)電路時(shí)序圖194.4測(cè)試結(jié)果分析

3、195心得與體會(huì)206參考文獻(xiàn)21附錄1原件清單22附錄2部分芯片引腳圖與功能表23摘要多功能數(shù)字鐘具有時(shí)間顯示、鬧鐘設(shè)置、環(huán)境溫度測(cè)量、電網(wǎng)電壓、電網(wǎng)頻率顯示,鬧鈴控制和電網(wǎng)電壓的過(guò)壓、欠壓報(bào)警等功能,深受人們歡迎。數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì).時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車(chē)站, 碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過(guò)老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈

4、、定時(shí)開(kāi)關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。AbstractA digital clock is a kind of digital circuit technology, minutes and seconds when the timing device, and the mechanical clock is higher than the accuracy and intuitive, and no machinery, has more longer service life, so

5、 it has been widely used.From the principle of digital clock is a kind of typical digital circuits, including the assembly logic circuit and the sequential circuits. At present, a digital clock function is more and more strong, and a variety of special options. Applicable for automatic digital clock

6、 rung, automatic broadcasting, also suitable for electricity, water and automatic control and electrical equipment. It is by several children clock circuit, timing circuit, amplifier circuit, the power circuit implementation. In order to simplify the circuit structure, a digital clock circuit and ti

7、ming circuits using direct connection between decoding technology. With simple structure, reliable operation, long service life, change the setting time for easy and manufacturing cost etc.To learn from the point of view, there are mainly introduced in small scale integrated circuit design method of

8、 digital clock。311系統(tǒng)原理框圖數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間一致,故需要在電路上加一個(gè)校時(shí)電路。同時(shí)必需以標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)作為時(shí)鐘驅(qū)動(dòng)。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。圖1所示為數(shù)字鐘的一般構(gòu)成框圖。圖 1系統(tǒng)原理框圖晶體振蕩器電路:晶體振蕩器電路給數(shù)字鐘提供一個(gè)頻率穩(wěn)定準(zhǔn)確的32768z的方波信號(hào),可保證數(shù)字鐘的走時(shí)準(zhǔn)確及穩(wěn)定。不管是指針式的電子鐘還是數(shù)字顯示的電子鐘都使用了晶體振蕩器電路。分頻器電路:分頻器電路將32768HZ的高頻方波信號(hào)經(jīng)32768()次分頻后得到1Hz的方波信號(hào)供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)

9、。分頻器實(shí)際上也就是計(jì)數(shù)器。時(shí)間計(jì)數(shù)器電路:時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。譯碼驅(qū)動(dòng)電路:譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。整點(diǎn)報(bào)時(shí)電路:一般時(shí)鐘都應(yīng)具備整點(diǎn)報(bào)時(shí)電路功能,即在時(shí)間出現(xiàn)整點(diǎn)前數(shù)秒內(nèi),數(shù)字鐘會(huì)自動(dòng)報(bào)時(shí),以示提醒.其作用方式是發(fā)出連續(xù)的或有節(jié)奏的音頻聲波,較復(fù)雜的也可以是實(shí)時(shí)語(yǔ)音提示。2方案設(shè)計(jì)與論證2.1時(shí)間脈沖產(chǎn)生電路方案一:由

10、集成電路定時(shí)器555與RC組成的多諧振蕩器作為時(shí)間標(biāo)準(zhǔn)信號(hào)源。圖 2 555與RC組成的多諧振蕩器圖方案二:振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,通常選用石英晶體構(gòu)成振蕩器電路。石英晶體振蕩器的作用是產(chǎn)生時(shí)間標(biāo)準(zhǔn)信號(hào)。因此,一般采用石英晶體振蕩器經(jīng)過(guò)分頻得到這一時(shí)間脈沖信號(hào)。圖 3 石英晶體振蕩器圖方案三:由集成邏輯門(mén)與RC組成的時(shí)鐘源振蕩器。圖 4 門(mén)電路組成的多諧振蕩器圖用555組成的脈沖產(chǎn)生電路: R1=15*103,R2=68*103,C=10F,則555所產(chǎn)生的脈沖的為:f=1.43/(R1+2*R2)*103*10*106=0.947Hz,而

11、設(shè)計(jì)要求為1Hz,因此其誤差為5.3%,在精度要求不是很高的時(shí)候可以使用。石英晶體振蕩電路:采用的32768晶體振蕩電路,其頻率為32768Hz,然后再經(jīng)過(guò)15分頻電路可得到標(biāo)準(zhǔn)的1Hz的脈沖輸出.R的阻值,對(duì)于TTL門(mén)電路通常在0.72K之間;對(duì)于CMOS門(mén)則常在10100M之間。由門(mén)電路組成的多諧振蕩器的振蕩周期不僅與時(shí)間常數(shù)RC有關(guān),而且還取決于門(mén)電路的閾值電壓VTH,由于VTH容易受到溫度、電源電壓及干擾的影響,因此頻率穩(wěn)定性較差,只能用于對(duì)頻率穩(wěn)定性要求不高的場(chǎng)合。綜上分析,選擇方案二,石英晶體振蕩電路能夠作為最穩(wěn)定的信號(hào)源。2.2分頻器電路通常,數(shù)字鐘的晶體振蕩器輸出頻率較高,為了

12、得到1Hz的秒信號(hào)輸入,需要對(duì)振蕩器的輸出信號(hào)進(jìn)行分頻。通常實(shí)現(xiàn)分頻器的電路是計(jì)數(shù)器電路,一般采用多級(jí)二進(jìn)制計(jì)數(shù)器來(lái)實(shí)現(xiàn)。例如,將32768Hz的振蕩信號(hào)分頻為1HZ的分頻倍數(shù)為32768(),即實(shí)現(xiàn)該分頻功能的計(jì)數(shù)器相當(dāng)于15級(jí)二進(jìn)制計(jì)數(shù)器。從盡量減少元器件數(shù)量的角度來(lái)考慮,這里可選多極二進(jìn)制計(jì)數(shù)電路CD4060和CD4040來(lái)構(gòu)成分頻電路。CD4060和CD4040在數(shù)字集成電路中可實(shí)現(xiàn)的分頻次數(shù)最高,而且CD4060還包含振蕩電路所需的非門(mén),使用更為方便。CD4060計(jì)數(shù)為14級(jí)二進(jìn)制計(jì)數(shù)器,可以將32768z的信號(hào)分頻為2Hz,其內(nèi)部框圖如圖2.1所示,從圖中可以看出,CD4060的時(shí)

13、鐘輸入端兩個(gè)串接的非門(mén),因此可以直接實(shí)現(xiàn)振蕩和分頻的功能。圖 5.1 CD4060內(nèi)部框圖 圖5.2 CD4040內(nèi)部框圖CD4040計(jì)數(shù)器的計(jì)數(shù)模數(shù)為4096(),其邏輯框圖如圖5.2。如將32768Hz信號(hào)分頻為1Hz,則需外加一個(gè)8分頻計(jì)數(shù)器,故一般較少使用CD4040來(lái)實(shí)現(xiàn)分頻。綜上所述,可選擇CD4060同時(shí)構(gòu)成振蕩電路和分頻電路。照?qǐng)D5.1,在和之間接入振蕩器外接元件可實(shí)現(xiàn)振蕩,并利用時(shí)計(jì)數(shù)電路中多一個(gè)2分頻器(后述)可實(shí)現(xiàn)15級(jí)2分頻,即可得1Hz信號(hào)。2.3時(shí)間計(jì)數(shù)器電路一般采用10進(jìn)制計(jì)數(shù)器來(lái)實(shí)現(xiàn)時(shí)間計(jì)數(shù)單元的計(jì)數(shù)功能。為減少器件使用數(shù)量,可選74HC390,其內(nèi)部邏輯框圖如

14、圖6所示。該器件為雙2-5-10異步計(jì)數(shù)器,并且每一計(jì)數(shù)器均提供一個(gè)異步清零端(高電平有效)。圖 6 74HC390(1/2)內(nèi)部邏輯框圖秒個(gè)位計(jì)數(shù)單元為10進(jìn)制計(jì)數(shù)器,無(wú)需進(jìn)制轉(zhuǎn)換,只需將QA與CPB(下降沿有效)相連即可。CPA(下降沒(méi)效)與1Hz秒輸入信號(hào)相連,Q3可作為向上的進(jìn)位信號(hào)與十位計(jì)數(shù)單元的CP相連。秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法如圖7所示,其中Q2可作為向上的進(jìn)位信號(hào)與分個(gè)位的計(jì)數(shù)單元CP相連。圖 7 10進(jìn)制-6進(jìn)制計(jì)數(shù)器轉(zhuǎn)換電路分個(gè)位和分十位計(jì)數(shù)單元電路結(jié)構(gòu)分別與秒個(gè)位和秒十位計(jì)數(shù)單元完全相同,只不過(guò)分個(gè)位計(jì)數(shù)

15、單元的作為向上的進(jìn)位信號(hào)應(yīng)與分十位計(jì)數(shù)單元的相連,分十位計(jì)數(shù)單元的作為向上的進(jìn)位信號(hào)應(yīng)與時(shí)個(gè)位計(jì)數(shù)單元的相連。時(shí)個(gè)位計(jì)數(shù)單元電路結(jié)構(gòu)仍與秒或個(gè)位計(jì)數(shù)單元相同,但是要求,整個(gè)時(shí)計(jì)數(shù)單元應(yīng)為24進(jìn)制計(jì)數(shù)器,不是10的整數(shù)倍,因此需將個(gè)位和十位計(jì)數(shù)單元合并為一個(gè)整體才能進(jìn)行24進(jìn)制轉(zhuǎn)換。利用1片75HC390實(shí)現(xiàn)24進(jìn)制計(jì)數(shù)功能的電路如圖8所示。另外,圖8所示電路中,尚余2進(jìn)制計(jì)數(shù)單元,正好可作為分頻器2Hz輸出信號(hào)轉(zhuǎn)化為1Hz信號(hào)之用。圖 8 24進(jìn)制計(jì)數(shù)器電路2.4譯碼驅(qū)動(dòng)及顯示單元電路譯碼電路的功能是將“秒”、“分”、“時(shí)”計(jì)數(shù)器的輸出代碼進(jìn)行翻譯,變成相應(yīng)的數(shù)字。用于驅(qū)動(dòng)LED七段數(shù)碼管的譯

16、碼器常用的有74LS48。74LS48是BCD-7段譯碼器/驅(qū)動(dòng)器,其輸出是OC門(mén)輸出且低電平有效,專用于驅(qū)動(dòng)LED七段共陽(yáng)極顯示數(shù)碼管。如圖9所示。若將“秒”、“分”、“時(shí)”計(jì)數(shù)器的每位輸出分別接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字的顯示。2.5校時(shí)電路方案一:。通常,校正時(shí)間的方法是:首先截?cái)嗾5挠?jì)數(shù)通路,然后再進(jìn)行人工出觸發(fā)計(jì)數(shù)或?qū)㈩l率較高的方波信號(hào)加到需要校正的計(jì)數(shù)單元的輸入端,校正好后,再轉(zhuǎn)入正常計(jì)時(shí)狀態(tài)即可。根據(jù)要求,數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能,因此,應(yīng)截?cái)喾謧€(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。圖10所示為所設(shè)計(jì)的校時(shí)電路

17、。圖 9方案二校正電路圖方案二:校準(zhǔn)電路由基本RS觸發(fā)器和“與”門(mén)組成,基本RS觸發(fā)器的功能是產(chǎn)生單脈沖,主要作用是起防抖動(dòng)作用。未撥動(dòng)開(kāi)關(guān)K時(shí),“與非”門(mén)G2的一個(gè)輸入端接地,基本RS觸發(fā)器處于“1”狀態(tài),這是數(shù)字鐘正常工作,“分”進(jìn)位脈沖能進(jìn)入“分”計(jì)數(shù)器。撥動(dòng)開(kāi)關(guān)K時(shí),“與非”門(mén)G1的一個(gè)輸入端接地,于是基本RS觸發(fā)器轉(zhuǎn)為“0”狀態(tài)。秒狀態(tài)可以直接進(jìn)入“分”計(jì)數(shù)器,而“分”進(jìn)位脈沖被阻止進(jìn)入,因而能較快地校準(zhǔn)分計(jì)數(shù)器的計(jì)數(shù)值。校準(zhǔn)后,將校正開(kāi)關(guān)恢復(fù)原位,數(shù)字鐘繼續(xù)進(jìn)行正常計(jì)時(shí)工作。圖 10 方案三校正電路通過(guò)比較可知,兩方案均有防抖動(dòng)的措施,穩(wěn)定性較好,方案一和方案二相比,方案二防抖動(dòng)措

18、施更好,更完備,但電路也更為復(fù)雜,成本也更高,通過(guò)比較選擇方案一,既能實(shí)現(xiàn)防抖動(dòng)功能,做出事物也更經(jīng)濟(jì)一些。2.6報(bào)時(shí)電路采用仿廣播臺(tái)整點(diǎn)報(bào)時(shí)的功能:每當(dāng)數(shù)字鐘計(jì)時(shí)快要到正點(diǎn)時(shí)候發(fā)出響聲,通常按照四低音,一高音的順序發(fā)出間斷聲,以最后一聲高音結(jié)束的時(shí)刻為正點(diǎn)時(shí)刻。4低音(約500Hz)分別發(fā)生在59分51秒、發(fā)生在59分53秒、發(fā)生在59分55秒、發(fā)生在59分57秒、,最后一聲高音(約1KHz)發(fā)生在59分59秒,他們的持續(xù)時(shí)間均為一秒。圖 11 方案一報(bào)時(shí)電路3單元電路的設(shè)計(jì)3.1時(shí)間脈沖產(chǎn)生電路的設(shè)計(jì)圖 12 產(chǎn)生1Hz時(shí)間脈沖的電路圖CD4060同時(shí)構(gòu)成振蕩電路和分頻電路。如圖14,在M

19、R和RS之間接入振蕩器外接元件可實(shí)現(xiàn)振蕩,并利用時(shí)計(jì)數(shù)電路中多一個(gè)2分頻器可實(shí)現(xiàn)15級(jí)2分頻,即可得1Hz信號(hào)。3.2計(jì)數(shù)電路的設(shè)計(jì)秒、分計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器。小時(shí)計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。實(shí)現(xiàn)這兩種模數(shù)的計(jì)數(shù)器采用中規(guī)模集成計(jì)數(shù)器74LS390。3.2.1 60進(jìn)制計(jì)數(shù)器的設(shè)計(jì)“秒”計(jì)數(shù)器電路與“分”計(jì)數(shù)器電路都是60進(jìn)制,它由一級(jí)10進(jìn)制計(jì)數(shù)器和一級(jí)6進(jìn)制計(jì)數(shù)器連接構(gòu)成。如圖4.所示由74LS390構(gòu)成的60進(jìn)制計(jì)數(shù)器。首先將兩片74LS390設(shè)置成十進(jìn)制加法計(jì)數(shù)器,將兩片計(jì)數(shù)器并行進(jìn)位則最大可實(shí)現(xiàn)100進(jìn)制的計(jì)數(shù)器?,F(xiàn)要設(shè)計(jì)一個(gè)60進(jìn)制的計(jì)數(shù)器,可利用“反饋清零”的方法實(shí)現(xiàn)。當(dāng)計(jì)數(shù)器輸出

20、“2Q32Q22Q12Q0、1Q3Q2Q1Q0=0110、0000”時(shí),通過(guò)門(mén)電路形成一置數(shù)脈沖,使計(jì)數(shù)器歸零。圖 13 60進(jìn)制計(jì)數(shù)器電路圖3.2.2 24進(jìn)制計(jì)數(shù)器的設(shè)計(jì)同理當(dāng)個(gè)位計(jì)數(shù)狀態(tài)為“Q3Q2Q1Q0=0100”,十位計(jì)數(shù)器狀態(tài)為“Q3Q2Q1Q0=0010”時(shí),要求計(jì)數(shù)器歸零。圖 14 15 24進(jìn)制計(jì)數(shù)器圖3.3 譯碼及驅(qū)動(dòng)顯示電路譯碼電路的功能是將“秒”、“分”、“時(shí)”計(jì)數(shù)器的輸出代碼進(jìn)行翻譯,變成相應(yīng)的數(shù)字。用于驅(qū)動(dòng)LED七段數(shù)碼管的譯碼器常用的有74LS48。74LS48是BCD-7段譯碼器/驅(qū)動(dòng)器,其輸出是OC門(mén)輸出且低電平有效,專用于驅(qū)動(dòng)LED七段共陽(yáng)極顯示數(shù)碼管。由

21、74LS48和LED七段共陽(yáng)數(shù)碼管組成的一位數(shù)碼顯示電路如圖 16 所示。若將“秒”、“分”、“時(shí)”計(jì)數(shù)器的每位輸出分別接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字的顯示。圖 16譯碼及驅(qū)動(dòng)顯示電路圖3.4 校時(shí)電路的設(shè)計(jì)數(shù)字種啟動(dòng)后,每當(dāng)數(shù)字鐘顯示與實(shí)際時(shí)間不符進(jìn),需要根據(jù)標(biāo)準(zhǔn)時(shí)間進(jìn)行校時(shí)。?!懊搿睍r(shí),采用等待校時(shí)。校“分”、“時(shí)”的原理比較簡(jiǎn)單,采用加速校時(shí)。對(duì)校時(shí)電路的要求是 :1在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù) 。2在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù) 。如圖17所示,當(dāng)開(kāi)關(guān)打向下時(shí),因?yàn)樾U盘?hào)和0相與的輸出為0,而開(kāi)關(guān)的另一端接高電平,正常輸入信號(hào)可以順利通過(guò)與或門(mén),故校時(shí)電路處于

22、正常計(jì)時(shí)狀態(tài);當(dāng)開(kāi)關(guān)打向上時(shí),情況正好與上述相反,這時(shí)校時(shí)電路處于校時(shí)狀態(tài)。與非門(mén)可選74LS00,非門(mén)則可用與非門(mén)2個(gè)輸入端并接來(lái)代替節(jié)省芯片。因此實(shí)際使用時(shí),須對(duì)開(kāi)關(guān)的狀態(tài)進(jìn)行消除抖動(dòng)處理,圖17為加2個(gè)0.01uF的電容。圖 17 校時(shí)電路圖3.5 報(bào)時(shí)電路根據(jù)要求,電路應(yīng)在整點(diǎn)前10秒鐘內(nèi)開(kāi)始整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59分50秒到59分59秒期間時(shí),報(bào)時(shí)電路報(bào)時(shí)控制信號(hào)。當(dāng)時(shí)間在59分50秒到59分59秒期間時(shí),分十位、分個(gè)位和秒十位均保持不變,分別為5、9和5,因此可將分計(jì)數(shù)器十位的QC和Q 、個(gè)位的Q和Q及秒計(jì)數(shù)器十位的Q和Q相與,從而產(chǎn)生報(bào)時(shí)控制信號(hào)。選蜂鳴器為電聲器件,蜂鳴器是一種

23、壓電電聲器件,當(dāng)其兩端加上一個(gè)直流電壓時(shí)酒會(huì)發(fā)出鳴叫聲,兩個(gè)輸入端是極性的,其較長(zhǎng)引腳應(yīng)與高電位相連,圖19的三極管時(shí)為了驅(qū)動(dòng)蜂鳴器。圖 18報(bào)時(shí)電路圖3.6電路總圖圖 19 電路總圖4仿真結(jié)果及分析4.1時(shí)鐘結(jié)果仿真圖 20時(shí)鐘結(jié)果仿真圖4.2 秒鐘個(gè)位時(shí)序圖圖 21秒鐘個(gè)位時(shí)序圖其他計(jì)數(shù)器的時(shí)序圖原理一樣,這里就不在贅述4.3報(bào)時(shí)電路時(shí)序圖圖 22報(bào)時(shí)電路時(shí)序圖蜂鳴器選擇的是500HZ的,所以500HZ的脈沖過(guò)來(lái)時(shí)候會(huì)發(fā)出四個(gè)脈沖,也就是前面提到的四個(gè)低音4.4測(cè)試結(jié)果分析經(jīng)測(cè)試之后,電路可以實(shí)現(xiàn)設(shè)計(jì)要求,可以實(shí)現(xiàn)數(shù)字鐘的基本功能,比如計(jì)數(shù),如圖22,同時(shí)多功能模塊校時(shí)功能和報(bào)時(shí)功能都可以

24、使用,如圖24?;诜抡娼Y(jié)果可以認(rèn)定,此次多功能數(shù)字鐘的設(shè)計(jì)是成功的。5心得與體會(huì)在此次的數(shù)字鐘設(shè)計(jì)過(guò)程中,更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及掌握了各芯片的工作原理和其具體的使用方法。這學(xué)期數(shù)電實(shí)驗(yàn)課的考試就是做的數(shù)字鐘,所以在計(jì)數(shù)模塊上面有以前的經(jīng)驗(yàn),設(shè)計(jì)技術(shù)模塊很快就得出了正確的結(jié)果,雖然跟實(shí)驗(yàn)室用得芯片不一樣,但原理一樣,同時(shí)我還理解到,同樣功能可以由不同的芯片實(shí)現(xiàn),需遵行簡(jiǎn)單,經(jīng)濟(jì)的原則,從而最大程度符合目標(biāo)設(shè)計(jì)。每次課程設(shè)計(jì)是一次難得的鍛煉機(jī)會(huì),讓我們能夠充分利用所學(xué)過(guò)的理論知識(shí)還有自己的想象的能力,另外還讓我們學(xué)習(xí)查找資料的方法,以及自己處理分析電路,設(shè)計(jì)電路的能力。我相信是對(duì)我的一個(gè)很

25、好的提高,補(bǔ)足平日理論學(xué)習(xí)后實(shí)踐方面的空白。通過(guò)這次課程設(shè)計(jì),我還更加深了理論知識(shí)的學(xué)習(xí)。這次的設(shè)計(jì)電路我用到了計(jì)數(shù)器、譯碼器等,通過(guò)自己分析和設(shè)計(jì)更好地運(yùn)用了它們,而且還學(xué)會(huì)了它們更多的功能。模電課程設(shè)計(jì)學(xué)到得方法在這里可以繼續(xù)使用,比如MULTISIM等學(xué)習(xí)軟件,給設(shè)計(jì)提供了很大的便利。課程設(shè)計(jì)機(jī)會(huì)不多,這學(xué)期很好,有足夠的時(shí)間,上學(xué)期因?yàn)槟k娬n程設(shè)計(jì)臨近期末才給出來(lái),做得很匆忙,覺(jué)得不是敷衍老師,而是敷衍自己。雖然自己很努力的做了,但覺(jué)得做得不夠好,難免有點(diǎn)遺憾。這學(xué)期本來(lái)課不多,課程設(shè)計(jì)又給得比較早,自己認(rèn)真做了,覺(jué)得還是小有收獲。這次課設(shè)還讓我明白,困難是成功的臺(tái)階,只有一級(jí)級(jí)走上去才能有所收獲。工科院校的學(xué)生應(yīng)當(dāng)這樣多參與實(shí)踐,運(yùn)用所學(xué),為將來(lái)工作打下基礎(chǔ)。6參考文獻(xiàn)1 數(shù)字電子技

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論