版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、長沙理工大學(xué)計算機(jī)組成原理課程設(shè)計報告基于vhdl的自動售貨機(jī)設(shè)計與實(shí)現(xiàn)學(xué) 院 計算機(jī)與通信工程 專 業(yè) 網(wǎng)絡(luò)工程 班 級 網(wǎng)絡(luò)工程08-02 學(xué) 號 200850250114 學(xué)生姓名 * 指導(dǎo)教師 * 課程成績 完成日期 2010年12月31日課程設(shè)計任務(wù)書計算機(jī)與通信工程學(xué)院 網(wǎng)絡(luò)工程專業(yè) 課程名稱計算機(jī)組成原理課程設(shè)計時間20102011學(xué)年第一學(xué)期1718周學(xué)生姓名梁正凱指導(dǎo)老師蔡爍題 目基于單片機(jī)水溫控制系統(tǒng)主要內(nèi)容: 基于vhdl自動售貨機(jī)的設(shè)計與實(shí)現(xiàn),本設(shè)計主要運(yùn)用vhdl語言和maxplus進(jìn)行設(shè)計,用gw48進(jìn)行仿真,實(shí)現(xiàn)預(yù)定的自動售貨功能。要求:(1)通過對相應(yīng)文獻(xiàn)的收集
2、、分析以及總結(jié),給出相應(yīng)課題的背景、意義及現(xiàn)狀研究分析。(2)通過課題設(shè)計,掌握計算機(jī)組成原理的分析方法和設(shè)計方法。(3)學(xué)按要求編寫課程設(shè)計報告書,能正確闡述設(shè)計和實(shí)驗(yàn)結(jié)果。(4)學(xué)生應(yīng)抱著嚴(yán)謹(jǐn)認(rèn)真的態(tài)度積極投入到課程設(shè)計過程中,認(rèn)真查閱相應(yīng)文獻(xiàn)以及實(shí)現(xiàn),給出個人分析、設(shè)計以及實(shí)現(xiàn)。應(yīng)當(dāng)提交的文件:(1)課程設(shè)計報告。(2)課程設(shè)計附件(主要是源程序)。課程設(shè)計成績評定學(xué) 院 計算機(jī)通信工程 專 業(yè) 網(wǎng)絡(luò)工程 班 級 網(wǎng)絡(luò)08-02 班 學(xué) 號 200858080219 學(xué)生姓名 * 指導(dǎo)教師 * 課程成績 完成日期 2010年12月31日指導(dǎo)教師對學(xué)生在課程設(shè)計中的評價評分項(xiàng)目優(yōu)良中及格不
3、及格課程設(shè)計中的創(chuàng)造性成果學(xué)生掌握課程內(nèi)容的程度課程設(shè)計完成情況課程設(shè)計動手能力文字表達(dá)學(xué)習(xí)態(tài)度規(guī)范要求課程設(shè)計論文的質(zhì)量指導(dǎo)教師對課程設(shè)計的評定意見綜合成績 指導(dǎo)教師簽字 年 月 日基于vhdl的自動售貨機(jī)設(shè)計與實(shí)現(xiàn)學(xué)生:*指導(dǎo)老師:蔡爍摘要: 本設(shè)計運(yùn)用vhdl語言編寫一個自動售貨機(jī)的控制系統(tǒng),該系統(tǒng)具有貨物信息存儲,進(jìn)程控制,硬幣處理,余額計算,顯示等功能。商品的種類、單價和數(shù)量在初始化時輸入,然后存儲。可以識別的幣種為硬幣,五毛和一元的面額。用戶投入硬幣,系統(tǒng)累計數(shù)量,然后對比物品的單價和數(shù)量,扣除價格,最后計算余額,可以找零。 設(shè)計沒有考慮硬件的實(shí)現(xiàn),只進(jìn)行功能的相應(yīng)仿真,性質(zhì)為實(shí)驗(yàn)
4、性質(zhì)的課程設(shè)計。關(guān)鍵詞:vhdl、自動售貨機(jī)、課程設(shè)計、mxaplus、系統(tǒng)仿真abstract: this design use of vhdl language design a vending machine control system, the system have the functions to storage the goods information, process control, coin handling, balance calculation, display and other functions. the type of goods, unit price
5、and quantity in the initialization to be input, and then stored. currency for the coins can be identified, fifty cents and one dollar denomination. user input coins, the system account sum, and then comparing the unit price and quantity of goods, net price, the final calculation of the balance, you
6、can give change. design did not consider the hardware implementation, only the corresponding functional simulation, experimental nature of the curriculum design.keywords: vhdl, vending machines, curriculum design, mxaplus , system simulation目錄1. 引言.71.1 自動售貨機(jī)系統(tǒng)概述71.2 設(shè)計任務(wù)和主要內(nèi)容82. 系統(tǒng)設(shè)計過程.92.1 自動售貨機(jī)系統(tǒng)
7、總體框圖92.2 系統(tǒng)功能模塊92.3 程序源代碼113. 系統(tǒng)仿真.153.1 系統(tǒng)仿真全圖153.2 系統(tǒng)分步仿真圖. 164. 總結(jié).20參考文獻(xiàn). 211 引言隨著現(xiàn)在生活節(jié)奏越來越快,自動售貨機(jī)的出現(xiàn)大大方便了人們的日常生活。它使得人們在匆忙的上學(xué)、上班途中能方便的購買到自己需要的常見日常用品。因?yàn)橛行枨螅圆庞惺袌?,這就是本設(shè)計的最大意義所在。這是我們將書本中知識運(yùn)用到生活中的開端,盡管存在許多的不完善和稚嫩的地方,但對于我們學(xué)生來說,卻是一次很不尋常的體驗(yàn)。學(xué)有所用的感覺也讓我在進(jìn)行設(shè)計的時候倍受激勵,從而最大程度的投入到本次設(shè)計中來。而對vhdl語言的應(yīng)用,也是我們第一次自學(xué)
8、一門語言。以往都是在老師的教導(dǎo)下系統(tǒng)的學(xué)習(xí),這次則是自己去網(wǎng)上、圖書館找資料進(jìn)行學(xué)習(xí),這對提高我們的自學(xué)能力和獨(dú)立完成任務(wù)的能力都很有幫助。1.1 自動售貨機(jī)系統(tǒng)概述自動化、機(jī)械化是信息時代發(fā)展的必然產(chǎn)物,許許多多的原本需要人力來完成的任務(wù)都在被機(jī)器取代,自動售貨機(jī)就是解放人類勞動力的發(fā)明之一。隨著科技的發(fā)展及人們生活水平的提高,自動售貨機(jī)市場的發(fā)展越來越呈現(xiàn)出多元化及個性化的需求。通過自動售貨機(jī)進(jìn)行自助購物正逐漸成為市民的一種新的消費(fèi)時尚,快捷方便的購物方式滿足了人們在當(dāng)今科技高速發(fā)展的現(xiàn)代社會追求高品質(zhì)生活的需要。自動售貨機(jī)在城市商業(yè)區(qū)、寫字樓大廳、風(fēng)景區(qū)、車站、碼頭、繁華街道等公共場所的
9、布設(shè)能夠美化亮化城市環(huán)境,方便群眾生活,已經(jīng)成為城市各角落的一道亮麗風(fēng)景線。自動售貨機(jī)已在國內(nèi)普及,并成為城市現(xiàn)代文明程度的一種象征性標(biāo)志。1.2 設(shè)計任務(wù)和主要內(nèi)容1 預(yù)定實(shí)現(xiàn)功能 存儲物品的種類、單價、數(shù)量 投入硬幣數(shù)量及金額的累加 扣除購買物品價格后余額 顯示金額及計算找零2 主要內(nèi)容通過用vhdl語言編碼,實(shí)現(xiàn)預(yù)定功能,再應(yīng)用maxplus進(jìn)行仿真,的到仿真圖。并進(jìn)行系統(tǒng)的語言組織,寫成實(shí)驗(yàn)報告。 2 系統(tǒng)設(shè)計過程2.1 自動售貨機(jī)系統(tǒng)總體框圖時鐘按鍵數(shù)據(jù)投幣控制器譯碼ram分頻器顯示圖2.12.2 各功能模塊模塊框圖控制系統(tǒng)金額模塊顯示模塊物品模塊信號模塊圖2.2 各模塊功能 金額模
10、塊:用于依據(jù)設(shè)置的金額,計算用戶投幣的總金額,物品的單價、總價,以及用戶的余額。 顯示模塊:將計算的結(jié)果及用戶操作信號譯碼后顯示在顯示屏上。 物品模塊:記錄物品的種類、對應(yīng)的單價、以及其數(shù)量 信號模塊:系統(tǒng)對系統(tǒng)時鐘,用戶的買、選擇、完成信號,顯示 開關(guān)信號等信號的設(shè)置。2.3 程序源代碼-文件名:pl_auto1.vhd。-功能:貨物信息存儲,進(jìn)程控制,硬幣處理,余額計算,顯示等功能。-說明:顯示的錢數(shù)coin的 以5角為單位。library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_
11、logic_unsigned.all;entity pl_auto1 isport ( clk:in std_logic; -系統(tǒng)時鐘set,get,sel,finish: in std_logic; -設(shè)定、買、選擇、完成信號coin0,coin1: in std_logic; -5角硬幣、1元硬幣price,quantity :in std_logic_vector(3 downto 0); -價格、數(shù)量數(shù)據(jù)item0 , act:out std_logic_vector(3 downto 0); -顯示、開關(guān)信號y0,y1 :out std_logic_vector(6 downto 0
12、); -錢數(shù)、商品數(shù)量顯示數(shù)據(jù)act10,act5 :out std_logic); -1元硬幣、5角硬幣end pl_auto1;architecture behav of pl_auto1 istype ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; -定義ramsignal item: std_logic_vector(1 downto 0); -商品種類signal coin: std_logic_vector(3 downto 0); -幣數(shù)計數(shù)器signal pr
13、i,qua:std_logic_vector(3 downto 0); -商品單價、數(shù)量signal clk1: std_logic; -控制系統(tǒng)的時鐘信號begincom:process(set,clk1)variable quan:std_logic_vector(3 downto 0);begin if set=1 then ram(conv_integer(item)=price & quantity;act=0000;-把商品的單價、數(shù)量置入到ram elsif clk1event and clk1=1 then act5=0; act10=0; if coin0=1 then if
14、 coin1001then coin=coin+1; -投入5角硬幣,coin自加1 else coin=0000; end if; elsif coin1=1 then if coin1001then coin=coin+2; -投入1元硬幣,coin自加2 else coin=0000; end if; elsif sel=1 then item0000 and coin=pri then coin=coin-pri;quan:=quan-1;ram(conv_integer(item)=pri & quan; if item=00 then act=1000; -購買時,自動售貨機(jī)對4種
15、商品的操作elsif item=01 then act=0100; elsif item=10 then act=0010; elsif item=11 then act0001 then act10=1;coin0000 then act5=1; coin=coin-1; else act5=0; act10=0; end if; elsif get=0 then act=0000; for i in 4 to 7 loop pri(i-4)=ram (conv_integer(item)(i); -商品單價的讀取 end loop; for i in 0 to 3 loop quan(i)
16、:=ram(conv_integer(item)(i); -商品數(shù)量的讀取 end loop; end if; end if;qua=quan;end process com;m32:process(clk) -此進(jìn)程完成對32mhz的脈沖分頻variable q: std_logic_vector( 24 downto 0);begin if clkevent and clk=1 then q:=q+1; end if; if q=111111111111111111111111 then clk1=1; else clk1item0item0item0item0y0y0y0y0y0y0y0
17、y0y0y0y0y1y1y1y1y1y1y1y1y1y1y1=1111111; end case;end process;end behav;3.1系圖統(tǒng)仿真全注:仿真圖里沒有對clk信號進(jìn)行分頻處理。圖 3.1 系統(tǒng)仿真全圖3.2系統(tǒng)分步仿真圖圖 3.2.1 系統(tǒng)仿真圖-預(yù)置部分圖 3.2.2 系統(tǒng)仿真圖-商品種類選擇部分2圖 3.2.3 系統(tǒng)仿真圖-投幣部分圖 3.2.4 系統(tǒng)仿真圖-購買、找?guī)沤Y(jié)束交易部分總結(jié) 本次課程設(shè)計是我第一次用一門自己陌生的語言做的設(shè)計,也知道不會做得很完善,現(xiàn)在只能說是在模擬上初步通過,也許在硬件上會得到不同的結(jié)果。但我們本來設(shè)計的初衷就只是鍛煉一下自己的動手實(shí)
18、踐的能力,所以這樣的結(jié)果也無可厚非了。關(guān)于自動售貨機(jī)系統(tǒng),因?yàn)闀r間和知識缺乏的關(guān)系,設(shè)計得很是簡陋,遠(yuǎn)遠(yuǎn)達(dá)不到當(dāng)今社會的需求。如果條件允許的話,應(yīng)該要多增加商品的數(shù)量,還要添加紙幣的識別,因?yàn)榧垘女吘故侵髁鞯呢泿?。課程設(shè)計是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識,發(fā)現(xiàn),提出,分析和解決實(shí)際問題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過程.回顧起此次課程設(shè)計,至今我仍感慨頗多,的確,從選題到定稿,從理論到實(shí)踐,在短短的兩個星期的日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時不僅可以鞏固了以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次課程設(shè)計使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,從而提高自己的實(shí)際動手能力和獨(dú)立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做計算機(jī)組成原理方面
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 高考物理總復(fù)習(xí)專題二相互作用實(shí)驗(yàn)二探究彈力和彈簧伸長量的關(guān)系練習(xí)含答案
- 江蘇省2015-2015學(xué)年高中英語 Unit3 Amazing people project教案 牛津譯林版必修2
- 八年級歷史下冊 第12課 欣欣向榮的科教文體事業(yè)教案 北師大版
- 2024年九年級語文上冊 第二單元 第6課《敬業(yè)與樂業(yè)》說課稿 新人教版
- 2024-2025學(xué)年高中語文 第二單元 珠星碧月彩云中 4 詞三首(一)教案 語文版必修3
- 2023四年級數(shù)學(xué)下冊 4 小數(shù)的意義和性質(zhì) 3小數(shù)點(diǎn)移動引起小數(shù)大小的變化第2課時 小數(shù)點(diǎn)移動引起小數(shù)大小變化的規(guī)律(2)配套教案 新人教版
- 二年級語文上冊 課文3 9 黃山奇石教案 新人教版
- 2024-2025學(xué)年新教材高中歷史 第八單元 中華民族的抗日戰(zhàn)爭和人民解放戰(zhàn)爭 第24課 全民族浴血奮戰(zhàn)與抗日戰(zhàn)爭的勝利教案3 新人教版必修《中外歷史綱要(上)》
- 變壓器報停委托書
- 租房電器超過使用年限合同(2篇)
- 六年級語文 六年級班家長會
- 內(nèi)科學(xué)(腎臟-內(nèi)分泌-血液)知到章節(jié)答案智慧樹2023年溫州醫(yī)科大學(xué)
- 年產(chǎn)10噸功能益生菌凍干粉的工廠設(shè)計改
- 地理教育測量與評價
- 2023上海車展展前報告
- 事業(yè)單位獎勵審批表主要事跡
- 中科大天文學(xué)史課件17近代天體測量和天體力學(xué)的發(fā)展
- 六年級勞動與技術(shù)上冊教案
- 馬王堆導(dǎo)引術(shù)獨(dú)立彩圖版
- 小學(xué)一年級語文上學(xué)期教研活動記錄(10次)
- GB/T 31315-2014機(jī)械結(jié)構(gòu)用冷拔或冷軋精密焊接鋼管
評論
0/150
提交評論