畢業(yè)設(shè)計(jì)(論文)基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)_第1頁
畢業(yè)設(shè)計(jì)(論文)基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)_第2頁
畢業(yè)設(shè)計(jì)(論文)基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)_第3頁
畢業(yè)設(shè)計(jì)(論文)基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)_第4頁
畢業(yè)設(shè)計(jì)(論文)基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)_第5頁
已閱讀5頁,還剩29頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)學(xué)生: 指導(dǎo)教師:內(nèi)容摘要:本文介紹了光功率計(jì)的基本原理,各個(gè)功能模塊,在生產(chǎn)過程中材質(zhì)的對比選擇。然后對對各個(gè)模塊進(jìn)行c語言編程和程序搭建。本次設(shè)計(jì)采用c8051f020單片機(jī)作為cpu控制,測量信號經(jīng)過單片機(jī)進(jìn)行折算后將結(jié)果送往顯示器顯示。目前國內(nèi)所需的光功率計(jì)大多依靠進(jìn)口,且國外光功率計(jì)價(jià)格貴,所需配件品種多,使用操作也較復(fù)雜,而國內(nèi)同類測試儀器存在價(jià)格偏高且測量精度偏低等方面的不足;另一方面,隨著微處理器技術(shù)的迅猛發(fā)展,以微處理器為核心進(jìn)行工作的智能儀器得到充分的發(fā)展。當(dāng)光纖通信遇到了智能化測試,就會(huì)引起測量控制儀表領(lǐng)域的一場新的技術(shù)革命。關(guān)鍵詞:光

2、纖 光功率計(jì) 單片機(jī) 顯示器optical power meter of design and implementation based on the 51-series mcuabstract: this paper introduces the basic principle of optical power meter, modules, in the process of production of contrast material choice. then selvesor modules for the c programming language and procedures

3、set. this design uses c8051f020 scm as cpu control, finally will test results to display. at present domestic need optical power meter mainly rely on imports, and foreign optical power meter high price, required parts in many varieties and use the operation is more complex, and domestic similar test

4、 instrument exists and low prices high measurement precision aspects of insufficient; on the other hand, along with the rapid development of microprocessor technology, a microprocessor core work of intelligent instrument develop substantially. when the optical fiber communication met intelligent tes

5、t, it would cause measurement control instrument field of a new technological revolutionkeywords: optical fiber optical power meter mcu displays目 錄前言11 光功率計(jì)介紹22 方案及相關(guān)元件的選擇32.1 光電轉(zhuǎn)換電路設(shè)計(jì)方案32.1.1 光電二極管的選擇32.1.2 放大濾波電路的設(shè)計(jì)方案52.2 單片機(jī)控制部分82.2.1 復(fù)位電路設(shè)計(jì)92.2.2 ad轉(zhuǎn)換102.2.3 與通信的總線接口112.3 顯示電路設(shè)計(jì)方案123 外圍電路設(shè)計(jì)133.1

6、 電源部分133.2 總線部分133.2.1 總線控制時(shí)序143.2.2 總線的硬件接口電路143.2.3 總線的通訊數(shù)據(jù)格式143.3 24c系列串行與mcu接口電路設(shè)計(jì)163.4 按鍵設(shè)計(jì)部分163.4.1 按鍵去抖動(dòng)163.3.2 按鍵號的確認(rèn)173.5 上位機(jī)通訊電路183.6 顯示器及其接口電路194 軟件部分204.1 下位機(jī)程序204.1.1 按鍵中斷處理函數(shù)224.1.2 usb編程234.1.3 a/d轉(zhuǎn)換234.1.4 與通信255 總結(jié)27附錄128附錄129參考文獻(xiàn)30基于51單片機(jī)的光功率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)前言二十一世紀(jì)科技有了高速的發(fā)展,生活、工業(yè)、軍事等多方面得運(yùn)行都

7、離不開信息得傳輸。光纖由于其傳輸損耗小、傳輸容量大、抗干擾能力強(qiáng)等特點(diǎn),已經(jīng)作為數(shù)字通信中的主要傳輸介質(zhì)。然而長距離得信息傳輸避免不了信息在傳輸過程中的損耗。人們在不斷得研究過程中發(fā)明了光纖傳輸?shù)牡蛽p耗過程。目前光纖通訊已成為了通訊領(lǐng)域發(fā)展的前沿。光纖通訊系統(tǒng)主要組件有:光纜、光源、檢測器、連接口、通訊電路。在整個(gè)光纖傳輸系統(tǒng)中,有源及無源部件如光發(fā)射機(jī)、光接收機(jī)、光接頭、光耦合器、光隔離器等的接入對系統(tǒng)的傳輸特性都有相當(dāng)大的影響,為了使系統(tǒng)達(dá)到應(yīng)有的傳輸指標(biāo),必須對光纜、部件以及全系統(tǒng)進(jìn)行一系列的檢驗(yàn)和測量。應(yīng)進(jìn)行插入功率損耗等參數(shù)的測量。當(dāng)然,更重要的還有全系統(tǒng)指標(biāo)功率、功率衰減及信噪比等

8、傳輸特性的測量。據(jù)預(yù)測,在領(lǐng)導(dǎo)下一代通信測試的各類測試儀器中,光測試儀器成為最有潛力的儀器之一,光功率計(jì)正是諸多急待開發(fā)的光纖系統(tǒng)測量儀器中的常用的、重要的基礎(chǔ)設(shè)備。它是光通信和光纖傳感等一些高新技術(shù)領(lǐng)域中測試光功率、光衰減量必不可少的常用測量儀表。隨著我國光纖應(yīng)用技術(shù)的迅速發(fā)展,光功率計(jì)的需求量與日俱增,特別是用于工程施工現(xiàn)場的便于攜帶、操作簡便、性能穩(wěn)定的光功率計(jì)。目前國內(nèi)所需的光功率計(jì)大多依靠進(jìn)口,國外光功率計(jì)價(jià)格普遍偏高,所需配件品種多,使用操作也較復(fù)雜;而國內(nèi)同類測試儀器存在價(jià)格偏高且測量精度偏低的不足。隨著微電子技術(shù)的迅速發(fā)展,特別是單片機(jī)的出現(xiàn)和廣泛應(yīng)用,正在引起測量控制儀表領(lǐng)域

9、的一場新的技術(shù)革命,測量儀器的智能化已成為現(xiàn)代儀器儀表發(fā)展的主要方向。智能光功率計(jì)是指含有微型計(jì)算機(jī)(c)或微處理器(p),能對測量結(jié)果進(jìn)行存儲、運(yùn)算處理及儀器本身能夠按照人工的預(yù)先設(shè)置進(jìn)行自動(dòng)操作的具有智能特性的光功率測量儀器,它可廣泛地應(yīng)用于光通信、光學(xué)實(shí)驗(yàn)、激光醫(yī)學(xué)、軍事偽裝及成像系統(tǒng)等方面。本文針對光纖的各項(xiàng)測試的實(shí)際需要研制光功率計(jì),主要是針對中低端用戶和生產(chǎn),在滿足一定的需求上提高測量精度同時(shí)又大大降低成本。1 光功率計(jì)介紹光功率計(jì)主要用于測量光信號的強(qiáng)弱,目前光功率測量方法有兩種,一種是熱轉(zhuǎn)換型方式,其原理是利用黑體吸收光功率后溫度的升高來計(jì)算光功率的大小,這種測量方法的優(yōu)點(diǎn)是光

10、譜響應(yīng)曲線平坦、準(zhǔn)確度高,缺點(diǎn)是成本高,響應(yīng)時(shí)間長;另一種是半導(dǎo)體光電檢測方式,一般被用來作為標(biāo)準(zhǔn)光功率計(jì),本文也是采用這種檢測方式。其內(nèi)部原理如圖1-1所示,光探頭就是光敏感面面積較大(直徑為110 mm)的半導(dǎo)體pin光電二極管,加上i層的pn結(jié)二極管可以提高探測靈敏度和響應(yīng)速度。被測光通過光纖接口投射到光探頭的光敏面上時(shí),半導(dǎo)體中的價(jià)帶電子激發(fā)到導(dǎo)帶,偏置電路中便會(huì)出現(xiàn)光電流,通過負(fù)載電阻實(shí)現(xiàn)i變換,此電壓信號再經(jīng)濾波放大后,最后由數(shù)字式顯示器顯示。光電流的大小隨輸入光信號的強(qiáng)度變化的,也就是說負(fù)載上電壓信號的大小就反應(yīng)了光強(qiáng)變化,所以顯示器可以直接讀出光功率的大小。光探頭i/v變換顯示

11、信號放大源光源光源光源圖1-1 光功率計(jì)的原理圖光功率計(jì)的主要技術(shù)指標(biāo)有測量靈敏度和測量精度。習(xí)慣上把靈敏度優(yōu)于75db的光功率計(jì)稱為高靈敏度光功率計(jì)。在設(shè)計(jì)光功率計(jì)時(shí),我們一般使它配合構(gòu)成的測量動(dòng)態(tài)范圍比被測線路的總損耗有12db以上的富余度。光功率計(jì)的精度指標(biāo)一般定為5,但是實(shí)際測量的準(zhǔn)確度和重復(fù)性取決于探頭連接器的正確使用。這是因?yàn)闇y量時(shí)不允許光纖與探頭的光敏面接觸(否則便會(huì)損壞探頭),而光纖與光敏面相離距離的遠(yuǎn)近又對進(jìn)入光電二極管的光功率值很敏感。一般來說,高質(zhì)量的光功率計(jì)都配有附件,保證被測光纖與光電二極管的光敏面對正,且能重復(fù)地保持合適的距離。其波長響應(yīng)范圍主要由探頭的特性所決定,

12、由于不同的半導(dǎo)體材料制成的光電二極管對不同的光強(qiáng)響應(yīng)度不同,所以一種探頭只能在某一波長范圍內(nèi)使用,而且每種探頭都是在其中心響應(yīng)波長上校準(zhǔn)的,為了覆蓋較大的波長范圍,一臺主機(jī)往往配備幾個(gè)不同波長范圍的探頭。其測量精度由探頭的靈敏度和主機(jī)的動(dòng)態(tài)范圍所決定。使用不同的探頭由不同的光功率測量范圍。為了從強(qiáng)背景噪聲中提取較弱的信號,以提高靈敏度,主機(jī)都沒有平均處理功能,為了消除暗電流的影響,主機(jī)還自動(dòng)偏差校準(zhǔn),辭去設(shè)置傳感器暗電流到0.本次數(shù)字光功率計(jì)的內(nèi)部機(jī)構(gòu)如圖1-2所示,將接收到的光信號投射在光探測器的光敏面上并由光電轉(zhuǎn)換電路將其轉(zhuǎn)變?yōu)殡娏?,再?jīng)過l變換電路和放大電路得到電壓信號,然后把這個(gè)信號送

13、到低通濾波器進(jìn)行濾波及響應(yīng)度補(bǔ)償放大,以得到與功率值相對應(yīng)的電壓,之后再將該電壓經(jīng)a/d轉(zhuǎn)換,以得到表示功率大小的數(shù)字量,最后通過cpu進(jìn)行數(shù)據(jù)處理和判斷后,將數(shù)據(jù)送入lcd顯示器進(jìn)行功率顯示或指示。以下章節(jié)將分別講解各個(gè)電路部分的設(shè)計(jì)和實(shí)現(xiàn)。光探測器光電轉(zhuǎn)換電路i/v變換電路放大濾波處理器顯示電路a/d轉(zhuǎn)換電路鍵盤 圖1-2 數(shù)字光功率計(jì)工作原理框架圖2 方案及相關(guān)元件的選擇2.1 光電轉(zhuǎn)換電路設(shè)計(jì)方案光探測器是具有將光信號轉(zhuǎn)換為電信號得功能,是接收光信號的關(guān)鍵器件,目前常用的光檢測器有pin光電二極管和雪崩光電二極管(apd)2.1.1 光電二極管的選擇光電二極管(pd)把光信號轉(zhuǎn)換為電信

14、號,是由半導(dǎo)體pn結(jié)的光電效應(yīng)實(shí)現(xiàn)的。在pn結(jié)的界面上,由于電子和空穴的擴(kuò)散運(yùn)動(dòng),形成內(nèi)部電場。內(nèi)部電場使電子和空穴與擴(kuò)散運(yùn)動(dòng)方向相反的漂移運(yùn)動(dòng),最終使能帶發(fā)生傾斜,在pn結(jié)界面附近形成耗盡層。當(dāng)入射光作用在pn結(jié)時(shí),如果光子的能量大于或等于帶隙(hf eg),便發(fā)生受激吸收,即價(jià)帶的電子吸收光子的能量躍遷到導(dǎo)帶形成光生電子一空穴對。在耗盡層,由于內(nèi)部電場的作用,電子向n區(qū)運(yùn)動(dòng),空穴向p區(qū)運(yùn)動(dòng),形成漂移電流。在耗盡層兩側(cè)是沒有電場的中性區(qū),由于熱運(yùn)動(dòng),部分光生電子和空穴通過擴(kuò)散運(yùn)動(dòng)可能進(jìn)入耗盡層,然后在電場作用下,形成和漂移電流相同方向的擴(kuò)散電流。漂移電流分量和擴(kuò)散電流分量的總和即為光生電流。

15、當(dāng)與p層和n層連接的電路開路時(shí),便在兩端產(chǎn)生電動(dòng)勢,這種效應(yīng)稱為光電效應(yīng)。當(dāng)入射光變化時(shí),光生電流隨之作線性變化,從而把光信號轉(zhuǎn)換成電信號。這種由pn結(jié)構(gòu)成,在入射光作用下,由于受激吸收過程產(chǎn)生的電子-空穴對的運(yùn)動(dòng),在閉合電路中形成光生電流的器件,就是的光電二極管(pd)。根據(jù)結(jié)構(gòu)的不同,光電二極管可分為p-n結(jié)型、p礬結(jié)型、雪崩型以及肖特基結(jié)型光電二極管(apd)。在光纖通信領(lǐng)域的應(yīng)用中,為了克服光生載流子擴(kuò)散時(shí)間長的缺點(diǎn),在pn結(jié)間插入一層非摻雜或輕摻雜半導(dǎo)體材料,以增大耗盡區(qū)寬度w,達(dá)到減小擴(kuò)散運(yùn)動(dòng)的影響,提高響應(yīng)度的要求。由于p-n結(jié)中間插入的半導(dǎo)體材料近似為本征半導(dǎo)體(intrins

16、ic),當(dāng)管芯加上一定反向電壓后,其耗盡區(qū)便可在整個(gè)i型層展開,亦即擴(kuò)展了耗盡區(qū),而光生載流子擴(kuò)散區(qū)域則被壓縮,這種結(jié)構(gòu)的光電二極管稱為pin光電二極管。適合于光纖通信系統(tǒng)應(yīng)用的光檢測器有pin光電二極管和雪崩光電二極管(apd)。apd具有雪崩放大作用、響應(yīng)度高,但附加噪聲大、偏置電壓高、溫度穩(wěn)定性差、結(jié)構(gòu)復(fù)雜且價(jià)格高。因此作為光功率檢測的儀器一般采用pin光電二極管作為光電轉(zhuǎn)換器件,所以通用光功率計(jì)一般是采用pin光電二極管作為光探測器件的。目前使用的pin管主要有si、ge、ingaas等,覆蓋了從750rim到1800nm的波長范圍,而pin二極管型號的選擇主要是根據(jù)所做光功率計(jì)的測量

17、范圍來確定的。常用的pin二極管都是小信號工作器件,光敏面不合適,能接收的光功率范圍很有限,所以一般不用來做光功率計(jì)的探測器。而ingaas.pin是一種低噪聲、高響應(yīng)度的光電檢測器,具有較高的測量靈敏度。當(dāng)ingaas.pin管接收光輸入后,位于價(jià)帶中電子吸收了光子而躍遷到導(dǎo)帶,因而可產(chǎn)生一個(gè)電子-空穴對。該電子空穴對若在耗盡區(qū)產(chǎn)生,那么在自建電場(pi管一般采用零偏壓)的作用下,電子將向n區(qū)漂移,空穴向p區(qū)漂移,從而產(chǎn)生與輸入光功率成正比的電流信號。ingaas.pin的光響應(yīng)度可達(dá)0.8aw,波長范圍為1100nm1700nm。故在本次設(shè)計(jì)上,基于暗電流、上升時(shí)間、帶寬、偏置電壓等綜合考

18、慮,我們最終采用ingaas.pin。從表2.1.1-1中可以得到,ingaas.pin用于長波長(1.31和1.55)系統(tǒng),性能非常穩(wěn)定,通常把它和使用場效應(yīng)管(fet)的前置放大器集成在同一基片上,構(gòu)成pinfet接收組件,以進(jìn)一步提高靈敏度,改善器件的性能。這種組件已經(jīng)得到廣泛應(yīng)用。新近研究的ingaas.pin的特點(diǎn)是響應(yīng)速度快,傳輸速率可達(dá)到十幾gb/s,適用于高速光纖通信領(lǐng)域。圖2.1.1-1為pin光電二極管響應(yīng)度與波長的關(guān)系:表2.1.1-1 si、ge、ingaaspin光電二極管的通用工作特性參數(shù)參數(shù)符號單位sigeingaas波長范圍nm40011008001650110

19、01700響應(yīng)度ra/w0.40.60.40.50.750.95暗電流idna110505000.52.0上升時(shí)間ttns0.51.00.10.50.050.5帶寬bghz0.30.70.53.01.02.0偏置電壓vbv55105圖2.1.1-1 pin光電二極管響應(yīng)度和波長的關(guān)系2.1.2 放大濾波電路的設(shè)計(jì)方案由于pin二極管產(chǎn)生的光電流很小,不能直接用于測量,需要通過適當(dāng)?shù)牡驮肼暦糯蠛?,再進(jìn)行數(shù)據(jù)處理。光電探測器件往往都緊密連接一個(gè)低噪聲前置放大器,它的任務(wù)是:放大光電探測器件所輸出的微弱電信號,匹配后置處理電路與探測器件之間的阻抗。對前置放大器的要求是:低噪聲、高增益、低輸出阻抗、足

20、夠的信號帶寬和負(fù)載能力,以及良好的線性和抗干擾能力。在結(jié)構(gòu)上要求緊湊、靠近探測器件,良好的接地與屏蔽。低噪聲前置放大器的設(shè)計(jì),同一般放大器設(shè)計(jì)的根本區(qū)別是首先滿足放大器的噪聲指標(biāo),因此要考慮器件的選取和低噪聲工作點(diǎn)的確立,還要滿足信號源阻抗與放大器間的噪聲匹配;其次要考慮電路的組態(tài)、級聯(lián)方式及負(fù)反饋等以滿足對放大器增益、頻響、輸入輸出阻抗等方面的要求。另外,為了獲得良好的噪聲性能、通常還要采取避免外來干擾的多種措施。低噪聲電路中,一般都選用金屬膜電阻器和繞線電阻器,選用損耗較小的云母電容和瓷介電容來降低噪聲,在大容量電容中,選用漏電流很小的鉭電解電容。改多點(diǎn)接地為單點(diǎn)接地,這樣就切斷了地環(huán)流的

21、干擾。通常在浮地端再用一個(gè)110k的電阻或一小電容接地,以加強(qiáng)對空間電磁場的屏蔽效果。光電探測器對于前置放大器的要求通常從兩個(gè)方面考慮:一是要求功率傳輸最大,即放大器的輸入電阻等于光電探測器內(nèi)阻,工作于匹配狀態(tài),此時(shí)在一定的入射光功率情況下,從放大器輸出端可得到最大輸出電功率;其次,要求輸出最小的噪聲,即放大器工作在最佳源電阻的情況下,此時(shí)在放大器輸出端可得到最大的信噪比。而在實(shí)際的光電探測系統(tǒng)中,最佳源電阻與匹配電阻往往是不相等的,有的相差還很大。根據(jù)阻抗匹配及噪聲要求,本次設(shè)計(jì)采用阻抗變換型放大電路(pin光電二極管,對數(shù)放大器)。其方案頻帶寬、低噪聲、靈敏度高、動(dòng)態(tài)范圍大得特點(diǎn)。對數(shù)比放

22、大器輸入和輸出呈對數(shù)關(guān)系,這樣輸入信號的動(dòng)態(tài)范圍可以很大。寬動(dòng)態(tài)范圍信號經(jīng)過壓縮之后,使用較低分辨率的測量電路可實(shí)現(xiàn)信號精確測型。假設(shè)輸入信號范圍從1 mv-10 v,要求在l mv時(shí)的分辨率為l,為保證精度則在lv時(shí)分辨率就是0001。如果采用線性放大器,要求使用17位數(shù)模轉(zhuǎn)換器。但是,如果采用對數(shù)比放大器,其輸入動(dòng)態(tài)范圍為3個(gè)數(shù)量級,信號的分辨率保持l,則模數(shù)轉(zhuǎn)換器用12位就可以了。此外,電路采用對數(shù)比放大器,不用切換量程,避免了換檔誤差,使得測量精度有很大的提高。在本次設(shè)計(jì)中我們采用ad8304芯片,圖2.1.2-1是ad8304的內(nèi)部原理圖:它是一款動(dòng)態(tài)范圍為80db的對數(shù)比放大器,有

23、專門的光電二極管接口,由內(nèi)部提供光電二極管的偏置電壓。ad8304內(nèi)部集成了溫度補(bǔ)償電路,提高了轉(zhuǎn)換精度。其工作電壓為3.5-5.v。功能上,ad8304內(nèi)部由兩部分組成:對數(shù)比轉(zhuǎn)換器和線性運(yùn)算放大器。光電二極管輸入的是微小的電流信號,對數(shù)比放大器完成電流到電壓的對數(shù)比轉(zhuǎn)換。圖2.1.2-1 ad8304的內(nèi)部原理圖在5 v電壓時(shí)最大輸出電壓為5 v。為了適應(yīng)不同的ad轉(zhuǎn)換輸入電壓的要求,運(yùn)算放大器作為緩沖級來調(diào)節(jié)這一電壓值,以便最大限度地利用16 bad轉(zhuǎn)換的精度。由對數(shù)放大器輸入和輸出關(guān)系可以得到: (2.1.2-1) (2.1.2-2)式中:是ad834輸出電壓;是光電二極管輸出的電流;

24、是光電二極管截止電流,一般為常數(shù);和是常數(shù),由芯片外部所接電阻網(wǎng)絡(luò)決定:是光電二極管的響應(yīng)度;是輸入的光功率值。將(2.1.2-2)式代入(2.1.2-1)式可得: (2.1.2-3)式中:; ; 可以看到,當(dāng)(2.1.2-3)式中單位取mw時(shí),(2.1.2-3)式p的單位即為dbm。而且式子中和是常數(shù),所以輸出電壓值和被測功率值p(以dbm表示)就成為簡單的線性對應(yīng)關(guān)系。這樣避免了繁瑣的對數(shù)運(yùn)算,使得后繼的程序處理和結(jié)果計(jì)算就變得簡單了,圖2.1.2-2是部分設(shè)計(jì)的電路圖:圖2.1.2-2 ad8304對數(shù)放大器設(shè)計(jì)圖2.2 單片機(jī)控制部分單片機(jī)由于具有功能強(qiáng)、體積小、功耗低、價(jià)格便宜、共組

25、可靠、使用方便等特點(diǎn)被廣泛應(yīng)用于監(jiān)控技術(shù)并使得工業(yè)生產(chǎn)更加現(xiàn)代化。c8051f02x系列器件使用silicon labs的專利cip.51微控制器內(nèi)核。cip.51與mcs.51tm指令集完全兼容,可以使用標(biāo)準(zhǔn)803x/805x的匯編器和編譯器進(jìn)行軟件開發(fā)。cip.51內(nèi)核具有標(biāo)準(zhǔn)8052的所有外設(shè)部件,包括5個(gè)16位的計(jì)數(shù)器/定時(shí)器、兩個(gè)全雙工uart、256字節(jié)內(nèi)部ram、128字節(jié)特殊功能寄存器(sfr)地址空間及8/4個(gè)字節(jié)寬的i/o端口。下面列出了一些在本次設(shè)計(jì)中用到的主要特性,更具體的細(xì)節(jié)請參見有關(guān)某一產(chǎn)品的具體資料:高速、流水線結(jié)構(gòu)的8051兼容的cip51內(nèi)核(可達(dá)25mips

26、);全速、非侵入式的在系統(tǒng)調(diào)試接口(片內(nèi));真正12位(c805lf020/1)adc,帶pga和模擬多路開關(guān);具有可編程數(shù)據(jù)更新方式64k字節(jié)可在系統(tǒng)編程的flash存儲器;4352(4096+256)字節(jié)的片內(nèi)ram;可尋址64k字節(jié)地址空間的外部數(shù)據(jù)存儲器接口;硬件實(shí)現(xiàn)的spi、smbus/和兩個(gè)uart串行接口;5個(gè)通用的16位定時(shí)器;具有5個(gè)捕扭比較模塊的可編程計(jì)數(shù)器/定時(shí)器陣列。該mcu都可在工業(yè)溫度范圍(45-+85)內(nèi)用27v-36v的電壓工作。端口i/o、和jtag引腳都容許5v的輸入信號電壓。2.2.1 復(fù)位電路設(shè)計(jì)任何微機(jī)都是通過可靠復(fù)位之后才開始有序執(zhí)行應(yīng)用程序的。單片

27、機(jī)復(fù)位電路的結(jié)構(gòu)并不復(fù)雜,且參考電路的形式較多。圖2.2.1-1是rc復(fù)位電路。圖2.2.1-1 rc復(fù)位電路該電路為低電平復(fù)位,sw-pb為手動(dòng)復(fù)位開關(guān),可避免高頻諧波對電路的干擾。從理論上說,51系列單片機(jī)復(fù)位引腳只要外加兩個(gè)機(jī)器周期的有效信號即可復(fù)位,即只要保證t=rc2m(m為機(jī)器周期)便可。但在實(shí)際設(shè)計(jì)中,通常取值為10f以上,通常取值10k左右。實(shí)踐發(fā)現(xiàn),如果取值太小,則會(huì)導(dǎo)致rst信號驅(qū)動(dòng)能力變差而無法使系統(tǒng)可靠復(fù)位。該電路還存在電源毛刺和電源緩慢下降(電壓不足)等問題,而且調(diào)整rc常數(shù)改變延時(shí)會(huì)令驅(qū)動(dòng)能力變差,所以在設(shè)計(jì)復(fù)位電路時(shí),既要保證整個(gè)應(yīng)用系統(tǒng)的可靠復(fù)位,又要考慮復(fù)位電

28、路應(yīng)具有較好的抗干擾能力。所以我們可以在復(fù)位電路增加續(xù)流二極管,如圖2.2.1-2對于改善復(fù)位性能,起到了重要作用。2.2.1-2 改善后的復(fù)位電路2.2.2 ad轉(zhuǎn)換由于c805if020的adc0子系統(tǒng)包括一個(gè)9通道的可編程模擬多路選擇器(amux0),一個(gè)可編程增益放大器(pga0)和一個(gè)100ksps、12位分辨率的逐次逼近寄存器型adc,adc中集成了跟蹤保持電路和可編程窗口檢測器(見圖2.2.2-1的原理框圖)。amux0、pga0、數(shù)據(jù)轉(zhuǎn)換方式及窗口檢測器都可用軟件控制特殊功能寄存器來控制。adc0所使用的電壓基準(zhǔn)按“9.x電壓基準(zhǔn)(c805if020)”或“10.x電壓基準(zhǔn)”選

29、樣。在本設(shè)計(jì)中,vref采用ad8304內(nèi)部輸出的vref,伏值為2.0v。圖2.2.2-1 adc0內(nèi)部原理框圖2.2.3 與通信的總線接口smbus0 i/o接12是一個(gè)雙線的雙向串行總線,smbus0完全符合系統(tǒng)管理總線規(guī)范11版,與c串行總線兼容。系統(tǒng)控制器對總線的讀寫操作都是以字節(jié)為單位的,由smbus接口自動(dòng)控制數(shù)據(jù)的串行傳輸。smbus0可以工作在主/從方式, smbus0提供了sda(串行數(shù)據(jù))控制、scl(串行時(shí)鐘)產(chǎn)牛和同步、仲裁邏輯以及起始/停止的控制和產(chǎn)生電路。有三個(gè)與之相關(guān)的特殊功能寄存器:配置寄存器smbocf、控制寄存器smbocn及用于發(fā)送和接收數(shù)據(jù)的數(shù)據(jù)寄存器

30、smbodat。圖2.2.3-1給出了一個(gè)典型的smbus配置。smbus0接口的工作電壓可以在30v和50v之間,總線上不同器件的工作電壓可以不同。scl(串行時(shí)鐘)和sda(串行數(shù)據(jù))線是雙向的,必須通過一個(gè)上拉電阻或類似電路連到電源電壓。連接在總線上的每個(gè)器件的scl和sda都必須是漏極開路或集電極開路的,當(dāng)總線空閑時(shí),這兩條線都被拉到高平。圖2.2.3-1 smbus0接口電路圖2.2.3-2 smbus配置2.3 顯示電路設(shè)計(jì)方案lcd數(shù)碼顯示器的控制電路也較簡單,并且市面上的lcd數(shù)碼顯示器基本上都帶驅(qū)動(dòng)電路。點(diǎn)陣式液晶的電極數(shù)目較多,顯示控制和驅(qū)動(dòng)較為復(fù)雜,我們可以選用市面上的標(biāo)

31、準(zhǔn)化點(diǎn)陣式lcd模塊。這些模塊是在一塊雙面印刷線路板上,它的一面用導(dǎo)電橡膠將電路與液晶顯示器件連接,另一面裝配所需要的驅(qū)動(dòng)器和控制器。因此本文選用液晶屏采用三星公司控制ic-ks0818方案,可由程序控制輸出漢字或其它字符。本次選用lcm12864。主要由行/列驅(qū)動(dòng)器及128*64全點(diǎn)陣液晶顯示器組成,可完成圖像顯示也可以顯示8*4個(gè)(16*16點(diǎn)陣)漢字,其工作溫度-20+70攝氏度。圖2.3-1 lcd邏輯電路圖3 外圍電路設(shè)計(jì)3.1 電源部分交流電220v經(jīng)變壓、整流、濾波后得到直流電5v,然后通過dcdc變換電路將直流電變?yōu)樗枰?v,5v除了給恒壓控制回路和限流控制回路供電外,還經(jīng)

32、過dc-dc變換器得到3.3v直流電,3.3v直流電給單片機(jī)模塊供電。整流電路的任務(wù)是將交流電變換成直流電,這里采用的是橋式整流電路。濾波電路用于濾去整流輸出電壓中的紋波,在此選用的是由電容、電感組合而成的復(fù)式濾波電路。由于電抗元件在電路中有儲能作用,故電容、電感具有平波作用。圖3.1-1 電源電路圖3.2 總線部分總線是由philips公司提出的串行通信接口標(biāo)準(zhǔn),該標(biāo)準(zhǔn)近年來在微電子通信控制領(lǐng)域被廣泛采用??偩€是一種同步通信方式,采用兩線式串行總線,一條為串行數(shù)據(jù)線(sda),另一條為串行時(shí)鐘線(scl)。一個(gè)標(biāo)準(zhǔn)的總線通訊過程由四個(gè)部分組成:申請總線、建立通訊途徑、數(shù)據(jù)傳輸過程和釋放總線。

33、總線空閑時(shí),兩條線均為高電平,不需加任何附加電路就可以實(shí)現(xiàn)多個(gè)器件的總線互連,但要求連接到總線上的器件輸出端必須是集電極開路或漏極開路。3.2.1 總線控制時(shí)序總線上數(shù)據(jù)通訊能否井然有序,主要取決于總線上的時(shí)序是否符合總線的要求??偩€對時(shí)序的要求十分嚴(yán)格,只要時(shí)序合理,傳輸誤碼率極低。數(shù)據(jù)傳輸時(shí)序如圖3.2.1-1所示。3.2.1-1 總線控制時(shí)序圖3.2.2 總線的硬件接口電路在本次設(shè)計(jì)中主器件為單片微控制器,每一個(gè)總線上的從器件都有唯一的地址,主從器件開始通訊前,主器件先下發(fā)從器件的地址,得到從器件的確認(rèn)應(yīng)答后再開始發(fā)送或接收有效數(shù)據(jù);不是本器件的地址,將不響應(yīng)數(shù)據(jù)線上的任何信息,這樣就可

34、以按要求有選擇地實(shí)現(xiàn)通訊。3.2.3 總線的通訊數(shù)據(jù)格式總線在硬件基礎(chǔ)上,總線上數(shù)據(jù)傳輸?shù)耐ㄓ嵏袷桨凑罩髌骷邮蘸椭髌骷l(fā)送數(shù)據(jù)兩種情況,如表3.2.3-1和表3.2.3-2:表3.2.3-1 讀操作時(shí)序表3.2.3-2 讀操作時(shí)序3.3 24c系列串行與mcu接口電路設(shè)計(jì)圖3.3-1 與51單片機(jī)連接的硬件設(shè)計(jì)電路24c系列串行和總線兼容,可以工作在100khz和400khz兩種模式下。由于許多微處理器沒有提供專門的12c總線接口部件,因此要實(shí)現(xiàn)與24c系列串行e2prom的連接必須采用軟件模擬方式,彌補(bǔ)硬件的不足,以完成總線的規(guī)程任何一種單片機(jī)均可通過軟件模擬,實(shí)現(xiàn)與24c系列串行的連接。

35、圖3.3-1是本次設(shè)計(jì)的硬件線路圖,mcu為主控器件,at24c64為從器件,定義p16腳位數(shù)據(jù)總線(sda),p1.7腳為時(shí)鐘信號線(scl)。3.4 按鍵設(shè)計(jì)部分鍵盤是光功率計(jì)的控制部件,它主要完成以下任務(wù):一是功能選擇,用以根據(jù)工作要求選擇程序的流向;二是初始置數(shù),用以預(yù)置所測光的波長;三是儀器復(fù)位,由一專門的復(fù)位鍵完成。鍵盤控制具有實(shí)時(shí)性,在本次設(shè)計(jì)中主要是要滿足去抖動(dòng)的要求,因此,我們在編制鍵盤服務(wù)子程序時(shí),采用了掃描與中斷相結(jié)合的工作方式。由于本系統(tǒng)所要用到的鍵盤數(shù)量較少,采用獨(dú)立式按鍵方式設(shè)計(jì)鍵盤,系統(tǒng)并且通過中斷方式來獲取鍵盤的狀態(tài)。在此部分的設(shè)計(jì)中對按鍵處理的重要環(huán)節(jié)是去抖動(dòng)

36、,即除按下和彈起瞬間的抖動(dòng),而消除抖動(dòng)后面臨的更大問題就是解決按鍵的響應(yīng)問題,包括按鍵的一次響應(yīng),下面從兩個(gè)方面來進(jìn)行相應(yīng)的討論。3.4.1 按鍵去抖動(dòng)常用的按鍵由于機(jī)械觸點(diǎn)的彈性作用,一個(gè)按鍵開關(guān)在閉合時(shí)或斷開時(shí)不會(huì)馬上穩(wěn)定下來,因而在閉合和斷開的瞬間都伴隨著一連串的抖動(dòng),如圖3.4.1-1:抖動(dòng)時(shí)間的長短由按鍵的機(jī)械特性來決定,一般為510 ms,在本次設(shè)計(jì)中去抖動(dòng)的方法是采用延遲,具體方法就是在有按鍵按下時(shí),利用軟件延時(shí)10ms或稍長的時(shí)間(根據(jù)具體鍵的機(jī)械特性來確定)后再次檢測按鍵是否按下,實(shí)際上是避開了按鍵按下時(shí)的抖動(dòng)時(shí)間。實(shí)踐證明,不對按鍵釋放的抖動(dòng)進(jìn)行處理,也能滿足設(shè)計(jì)的要求。圖

37、3.4.1-1 去抖波形圖3.3.2 按鍵號的確認(rèn)在判斷出有鍵閉合的情況下,要根據(jù)讀取的當(dāng)前鍵值識別出閉合鍵的鍵號。鍵值是指該鍵閉合時(shí)產(chǎn)生的二進(jìn)制代碼,鍵號指鍵盤上各鍵的順序編號。而按鍵的識別、鍵值的確定等,都靠軟件來完成。其工作過程如下: 識別有無鍵閉合; 判別閉合鍵所在的列; 判別閉合鍵所在的行; cpu根據(jù)查得的按鍵特征編碼轉(zhuǎn)入與其對應(yīng)的功能程序,以完成該鍵的操作功能。本系統(tǒng)共有6個(gè)鍵,k2為復(fù)位鍵,功能是為系統(tǒng)復(fù)位;鍵k3為中心波長設(shè)定鍵,用來對測量波長進(jìn)行設(shè)置;鍵k4為單位切換鍵,用于選擇功率電平的測量單位dbm,或單位w(包括mw,w,nw),鍵k5為測量零漂鍵;鍵k6為測量鍵,對

38、光功率進(jìn)行測量啟動(dòng)。系統(tǒng)具體的鍵盤接口電路如圖3.4.2-1所示:圖3.4.2-1 鍵盤接口電路3.5 上位機(jī)通訊電路usb是通用串行總線(universal serial bus)的英文縮寫。usb總線接口作為外設(shè)的通用接口,硬件的結(jié)構(gòu)相對簡單,外圍設(shè)備接口設(shè)計(jì)容易。usb口把當(dāng)前pc固有的串口、并口、ps2(鍵盤鼠標(biāo)接口),甚至是scsi等連接外部設(shè)備的總線接口統(tǒng)一為單一的usb總線接口。因此在工業(yè)自動(dòng)化領(lǐng)域開發(fā)usb接口應(yīng)用技術(shù)具有資源共享的特殊意義。本文采用philips公司的pdiusbdl2芯片將usb接口傳輸技術(shù)應(yīng)用到智能記錄儀中,實(shí)現(xiàn)了對記錄數(shù)據(jù)的安全傳輸,具有速度快、干擾小、

39、安全性好等特點(diǎn)。pdiusbdl2是一款性價(jià)比很高的usb器件,它通常用作微控制器系統(tǒng)中實(shí)現(xiàn)與微控制器進(jìn)行通信的高速通用并行接口。它完全符合usb 1.1版的規(guī)范。pdiusbdl2通過總線方式與單片機(jī)的接口電路,接口電路設(shè)計(jì)如圖3.5-1所示:圖3.5-1 usb電路設(shè)計(jì)圖3.6 顯示器及其接口電路圖3.6-1 lcd接口設(shè)計(jì)圖lcml2864lcd內(nèi)置2片ks0108液晶顯示驅(qū)動(dòng)器,可顯示64k的點(diǎn)陣圖形,能與mcu直接接口:具有64k的內(nèi)部存儲器。它的優(yōu)點(diǎn)是工作電壓低、功耗低,其缺點(diǎn)是顯示的清晰度和對比度低,響應(yīng)速度較慢。lcd模塊接口有數(shù)據(jù)總線、數(shù)據(jù)和指令讀寫線,如果數(shù)據(jù)總線直接和mc

40、u相連,讀寫線和mcu的讀寫線相連,則稱為直接控制方式,本次設(shè)計(jì)就是采用這種方式,其電路接口圖如圖3.6-1所示:4 軟件部分只有對測量數(shù)據(jù)進(jìn)行必要的處理才能保證采集數(shù)據(jù)的正確性,微處理器引入智能化儀表后,許多原來靠硬件電路難以實(shí)現(xiàn)的測量和數(shù)據(jù)處理方法,可以通過軟件順利解決。監(jiān)控程序的主要作用是能及時(shí)的響應(yīng)來自系統(tǒng)或儀器內(nèi)部的各種服務(wù)請求,有效的管理測控系統(tǒng)本身軟、硬件及人一機(jī)聯(lián)系設(shè)備,與系統(tǒng)中其它設(shè)備交換信息,并在系統(tǒng)一旦出現(xiàn)故障時(shí),及時(shí)做出相應(yīng)的處理。監(jiān)控程序的功能具體可歸納為:進(jìn)行鍵盤和顯示管理;接收中斷請求信號;對定時(shí)器的管理;初始化,自動(dòng)切換,掉電保護(hù)等。4.1 下位機(jī)程序光功率計(jì)的

41、程序分為上位機(jī)和下位機(jī)兩個(gè)部分,下位機(jī)部分采用keil c編寫,為光功率計(jì)主體代碼。上位機(jī)采用c編,主要用于校準(zhǔn)光功率計(jì),也可用于平常使用,但使用時(shí)需要下位機(jī)配合。下位機(jī)上電復(fù)位后首先進(jìn)入監(jiān)控主程序,在開始的時(shí)候要先進(jìn)行mcu初始化,包括晶振電路的選擇和配置、定時(shí)器、端口設(shè)置、ad轉(zhuǎn)換、中斷的開關(guān)等,然后再進(jìn)入主循環(huán),它的任務(wù)是識別命令、解釋命令并獲得完成該命令的相應(yīng)模塊的入口,并協(xié)調(diào)各部分軟、硬件有條不紊地工作,光功率計(jì)系統(tǒng)主程序流程圖見附錄2所示。主程序片段如下:void main(void)systcminit();stateinit();getbase(allbase);/從eepro

42、m中得到參數(shù)庫while(1)/主處理switch(mysystemcrunmode)/根據(jù)運(yùn)行模式選擇子程序case modelp:/普通光功率計(jì)測量模式(含dbm和mw兩種方法顯示)runlpdispose0;/普通光功率計(jì)模式運(yùn)行子程序break;case mode lp diff:/差值計(jì)算測量模式runlpdiffdispose0;break;case mode set addr:/機(jī)器地址設(shè)置模式runsetaddrdispose0;break;case mode rl:/回?fù)p儀測量模式runrldispose0;break;case mode adj lp:/校準(zhǔn)光功率計(jì)runa

43、djlpdispose0;break;case mode adj rl:/校準(zhǔn)回?fù)p儀runaajridispose0;break;default:runlpdispose();保護(hù):普通光功率計(jì)模式運(yùn)行子程序)4.1.1 按鍵中斷處理函數(shù)由于本系統(tǒng)包含的按鍵不多,使用頻率不高,系統(tǒng)采用中斷掃描工作方式,當(dāng)有鍵按下的時(shí)候會(huì)先進(jìn)入相應(yīng)的中斷,然后再執(zhí)行掃描判斷是哪個(gè)按鍵被按下,即只有當(dāng)有鍵按下時(shí)才執(zhí)行掃描任務(wù),這樣可以提高cpu的效率,避免空掃描。程序片段如下:void scankey(void)unsigned char keybuff2;if(kconnet一0)if(keydelay-o)p

44、key=0;p4&=inx0;keybuff0=p4;p4 i=inx0;p4&=inxl;keybuff1=p4;p4!=inx1;keybuff1=4;keybuff1&=oxfo;keybufl0&=oxof;keybuff0+=keybuff1;if(keybuffo!=0xff)keydelay=keydelaytimes;pkey=keybuffo;elsekconnet=o;4.1.2 usb編程設(shè)備端的usb程序主要可分為兩個(gè)大的步驟:usb設(shè)備的枚舉和usb數(shù)據(jù)通信控制,如果要讓一個(gè)usb主機(jī)或設(shè)備能夠識別一個(gè)usb設(shè)備,必須經(jīng)過枚舉過程,主機(jī)使用總線枚舉來識別和管理必要的設(shè)

45、備狀態(tài)變化。只有完成了枚舉,usb設(shè)備才可以使用。使用了pdiusbdl2的端點(diǎn)0、端點(diǎn)1和端點(diǎn)2,端點(diǎn)0采用控制傳輸?shù)臄?shù)據(jù)傳輸方式,端點(diǎn)1采用普通輸入輸出,端點(diǎn)2采用批量傳輸?shù)臄?shù)據(jù)傳輸方式。上、下位機(jī)通過uss口進(jìn)行數(shù)據(jù)傳輸,上位機(jī)向單片機(jī)發(fā)送命令,下位機(jī)接到命令后將存在外部ram(at28c56)中的數(shù)據(jù)傳到上位機(jī)中。void usbmain(void)usbserve0; /處理usb事件if(beppflagsbitsep2_rxdome=1)rxscrve(); /從端點(diǎn)2收到數(shù)據(jù)disable(); /數(shù)據(jù)處理beppflagsbitsep2_rxdone=o; /清空端點(diǎn)2收到數(shù)

46、據(jù)enable();4.1.3 a/d轉(zhuǎn)換a/d轉(zhuǎn)換后會(huì)自動(dòng)進(jìn)入相應(yīng)的中斷,以下是程序片段,開始的時(shí)候要進(jìn)行ad初始化,使a/d能按照我們想要的工作方式進(jìn)行工作。void adcinit(void)unsigned char i;refocn=0x03; /使用adc和dac時(shí)biase位必須為1amxocf=ox00; /所有的adc為單端輸入amxosl=0x00; /adcin0輸入adcocf=ox90; /采用外部時(shí)鐘方式adc clk=2.4mhzadcocn=0x80; /使能adc,手動(dòng)啟動(dòng)adc,連續(xù)跟蹤方式,右對齊ptestadcnum=o;ptestadcend=o;pt

47、estcorgiadc=o;/最后一次adc轉(zhuǎn)換測量的原值for(i=0;i3;i+)ptestcadci=0;ptestcountvali=0;eie2 |=0x02; /使能adc0中斷void adcointerrupt(void)interrupt 1 5ptestadcaverageptestadcnum=(unsigmxi int)adcoh0xoff9)&(adcocf&0x07)= 0x01)/如果adc數(shù)值在倍數(shù)臨界點(diǎn)以上adcocf&=0xf8; /pga放大部數(shù)=1ptestadenum=0; /全部數(shù)據(jù)放棄,重新采集elseptest.adcnum+;)if(ptest

48、adcnum=adcavernum) /采集10次以做平均數(shù)/10次采完,做平均值unsigned int i;unsigned long buf=o;for(i=o;iadcavei心um;i+)buf+=ptestadcaveragei;buf=bufadcavernum;ptestcorgiadc=(unsigned int)buf;if(adcocf&0x010x01) /放大倍數(shù)2時(shí)ptestcorgiade i=ox8000;else/放大倍數(shù)1if(ptestcorgiadc_0xof9)/adc數(shù)值小于臨界點(diǎn)adcocf i=0x01;/pga放大倍數(shù)2ptest.addnum=0:ptest.adcend=l;adcocn&=(0x20);4.1.4 與通信與的數(shù)據(jù)傳輸主要有從讀出數(shù)據(jù)和往里寫數(shù)據(jù)。uchar at24cxxwritebyte(uchar c)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論