版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 摘 要摘要: 隨著信息科技的發(fā)展,波形發(fā)生器在科技社會(huì)等多個(gè)領(lǐng)域發(fā)揮著越來(lái)越重要作用。信號(hào)發(fā)生器一般指自動(dòng)產(chǎn)生正弦波、方波、三角波等電壓波形的電路或者儀器。傳統(tǒng)信號(hào)發(fā)生器大多由模擬電路構(gòu)成,存在連線復(fù)雜、調(diào)試繁瑣且可靠性較差等缺點(diǎn)。本次設(shè)計(jì)課題為應(yīng)用vhdl語(yǔ)言及max+plusii軟件提供的原理圖輸入設(shè)計(jì)功能,組合電子線路的設(shè)計(jì)加以完成一個(gè)任意波形信號(hào)發(fā)生器它具有結(jié)構(gòu)緊湊,性能穩(wěn)定,設(shè)計(jì)結(jié)構(gòu)靈活,方便進(jìn)行多功能組成的特點(diǎn),經(jīng)濟(jì)實(shí)用,成本低廉??僧a(chǎn)生正弦波、方波、三角波和鋸齒波等多種波形信號(hào),并可使用示波器觀察波形。實(shí)現(xiàn)了系統(tǒng)信號(hào)實(shí)時(shí)快速測(cè)量,也為其廣泛應(yīng)用于實(shí)際領(lǐng)域創(chuàng)造了條件。關(guān)鍵詞:信號(hào)
2、發(fā)生器 vhdl max+plusii 示波器 目 錄緒 論3第一章 系統(tǒng)設(shè)計(jì)41任意波形信號(hào)發(fā)生器的概述:42設(shè)計(jì)的意義4第二章 系統(tǒng)設(shè)計(jì)方案51系統(tǒng)框圖52系統(tǒng)電路圖53基本工作原理6第三章單元電路模塊源程序及功能71分頻模塊72頻率選擇模塊83波形選擇模塊94.輸出波形選擇模塊115.幅度控制模塊136.da轉(zhuǎn)化模塊:147系統(tǒng)總的仿真14第四章 實(shí)驗(yàn)結(jié)果及硬件驗(yàn)證15實(shí)驗(yàn)心得18致謝詞19參考文獻(xiàn)20 緒 論隨著電子技術(shù)的發(fā)展,產(chǎn)品的技術(shù)含量越來(lái)越高,是得芯片的復(fù)雜程度越來(lái)越高,人們對(duì)數(shù)萬(wàn)門乃至數(shù)百萬(wàn)門設(shè)計(jì)的需求也越來(lái)越多,特別是專門集成電路(asic)設(shè)計(jì)技術(shù)的日趨進(jìn)步和完善,推動(dòng)了
3、數(shù)字系統(tǒng)設(shè)計(jì)的迅速發(fā)展。僅靠原理圖輸入方式已不能滿足要求,采用硬件設(shè)計(jì)描述語(yǔ)言vhdl的設(shè)計(jì)方式應(yīng)運(yùn)而生,解決了傳統(tǒng)用電路原理圖設(shè)計(jì)大系統(tǒng)工程時(shí)的諸多不便,成為電路設(shè)計(jì)人員的最得力助手。設(shè)計(jì)工作從行為、功能級(jí)開始,并向著設(shè)計(jì)的高層次發(fā)展。信號(hào)發(fā)生器是數(shù)字設(shè)備運(yùn)行工作中必不可少的一部分,沒有良好的信號(hào)發(fā)生器,最終會(huì)導(dǎo)致系統(tǒng)不能正常工作,更不必談什么實(shí)現(xiàn)其它功能了。不論是處于開發(fā)還是故障檢修階段,輸出標(biāo)準(zhǔn)且性能優(yōu)越的信號(hào)發(fā)生器總是能夠帶來(lái)工作效率的大幅提升。新產(chǎn)品有一個(gè)標(biāo)準(zhǔn)的信號(hào)源,損壞的系統(tǒng)得到正確校驗(yàn),不會(huì)被一些故障所蒙蔽。在傳統(tǒng)的信號(hào)發(fā)生器中,大都使用分立元件,而且體積龐大攜帶不便,且大部分
4、只能輸出一種波習(xí)。在設(shè)計(jì)領(lǐng)域,不管采用什么技術(shù)生產(chǎn),生產(chǎn)的產(chǎn)品用在哪里,其產(chǎn)品設(shè)計(jì)的宗旨都是離不開以下幾點(diǎn):實(shí)用性高、成本低、可升級(jí)、功能完善、可擴(kuò)展等。使用專門的數(shù)字電路設(shè)計(jì)的信號(hào)發(fā)生器,設(shè)備成本高、使用復(fù)雜?;谝陨峡紤],在中小型數(shù)字電路的設(shè)計(jì)和測(cè)試中,迫切需要設(shè)計(jì)一種小型易用成本低廉的信號(hào)發(fā)生器。此課題的設(shè)計(jì)以小型經(jīng)濟(jì)、集成度高、性能穩(wěn)定、方便使用為知道,在功能上力求完善實(shí)用,同時(shí)兼顧商業(yè)價(jià)值與應(yīng)用價(jià)值的體現(xiàn)。 第一章 系統(tǒng)設(shè)計(jì)1任意波形信號(hào)發(fā)生器的概述: 隨著信息科技的發(fā)展,波形發(fā)生器在科技社會(huì)等多個(gè)領(lǐng)域發(fā)揮著越來(lái)越重要作用。采用eda技術(shù)利用max+plusii軟件平臺(tái),設(shè)計(jì)的多功能
5、波形發(fā)生器系統(tǒng),大大簡(jiǎn)化其結(jié)構(gòu),降低成本,提高了系統(tǒng)的可靠性和靈活性。設(shè)計(jì)中運(yùn)用計(jì)數(shù)器,數(shù)據(jù)選擇器,對(duì)所需的頻率進(jìn)行選擇和同步。使用宏功能模塊存儲(chǔ)波形。然后多波形進(jìn)行幅度的選擇。產(chǎn)生滿足需要的不用頻率和幅度的波形。2設(shè)計(jì)的意義 信號(hào)發(fā)生器又稱信號(hào)源或振蕩器,在生產(chǎn)實(shí)踐和科技領(lǐng)域中有著廣泛地應(yīng)用。凡是產(chǎn)生測(cè)試信號(hào)的儀器,統(tǒng)稱為信號(hào)源,也稱為信號(hào)發(fā)生器,它用于產(chǎn)生被測(cè)電路所需特定參數(shù)的電測(cè)試信號(hào)。信號(hào)源主要給被測(cè)電路提供所需要的已知信號(hào)(各種波形),然后用其它儀表測(cè)量參數(shù)??梢?,信號(hào)源在電子實(shí)驗(yàn)和測(cè)試處理中,并不測(cè)量任何參數(shù),而是根據(jù)使用者的要求,仿真各種測(cè)試信號(hào),提供給被測(cè)電路,以達(dá)到測(cè)試的需要
6、。它能夠產(chǎn)生多種波形,如三角波、矩形波(含方波)、正弦波等,在電路實(shí)驗(yàn)和設(shè)備檢測(cè)中具有十分廣泛地用途。例如,在通信、廣播、電視系統(tǒng)中,都需要射頻(高頻)發(fā)射,這里的射頻波就是載波,把音頻(低頻)、視頻信號(hào)或脈沖信號(hào)運(yùn)載出去,就需要能夠產(chǎn)生高頻的振蕩器。在工業(yè)、農(nóng)業(yè)、生物醫(yī)學(xué)等領(lǐng)域內(nèi),如高頻感應(yīng)加熱、熔煉、淬火、超聲診斷、核磁共振成像等,都需要功率或大或小、頻率或高或低的信號(hào)發(fā)生器。本設(shè)計(jì)采用eda來(lái)設(shè)計(jì)制作多功能信號(hào)發(fā)生器。該信號(hào)發(fā)生器可以產(chǎn)生正弦波、三角波、方波等波形。 第二章 系統(tǒng)設(shè)計(jì)方案1系統(tǒng)框圖圖1.任意波形信號(hào)發(fā)生器系統(tǒng)框圖2系統(tǒng)電路圖 圖2.任意波形信號(hào)發(fā)生器系統(tǒng)電路圖3基本工作原
7、理將要產(chǎn)生的波形數(shù)據(jù)存入波形存儲(chǔ)器中, 然后在參考脈沖的作用下, 對(duì)輸入的頻率數(shù)據(jù)進(jìn)行累加, 并將累加器輸出的一部分作為讀取波形存儲(chǔ)器的地址, 將讀出的波形數(shù)據(jù)經(jīng)d/a 轉(zhuǎn)換為相應(yīng)的電壓信號(hào),d/a 轉(zhuǎn)換器輸出的一系列的階梯電壓信號(hào)經(jīng)低通濾波器濾波后便輸出了光滑的合成波形的信號(hào)。選擇八種基礎(chǔ)波形為設(shè)計(jì)與實(shí)現(xiàn)的對(duì)象,而八個(gè)波形作為同一個(gè)任意波形發(fā)生器里的四個(gè)部分,是有著同一個(gè)輸入與輸出,因此在設(shè)計(jì)上還需要對(duì)波形進(jìn)行選擇與控制的部分,通過(guò)對(duì)時(shí)鐘脈沖輸入的選擇,使得八個(gè)波形模塊只有一個(gè)輸入為時(shí)鐘脈沖,其他三個(gè)模塊則輸入始終為0。在波形輸出時(shí),設(shè)計(jì)一個(gè)模塊控制輸出的波形是所要求輸出的波形,在時(shí)鐘脈沖選
8、擇與輸出波形選擇兩模塊之間。對(duì)于頻率的選擇可以選擇分頻器,同時(shí)也可以選擇計(jì)數(shù)器,本實(shí)驗(yàn)采用的是計(jì)數(shù)器以實(shí)現(xiàn)分頻的效果,輸出分別為二分頻,四分頻,八分頻,十六分頻用以實(shí)現(xiàn)不同的頻率。幅度調(diào)節(jié)可以使用lpm_divide,可以實(shí)現(xiàn)八種不同的幅度調(diào)節(jié)。第三章單元電路模塊源程序及功能1分頻模塊以下為分頻模塊(ct74161)的vhdl語(yǔ)言編程源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ct74161 isport(clk
9、: in std_logic;clk_div2 : out std_logic;clk_div4 : out std_logic;clk_div8 : out std_logic;clk_div16 : out std_logic);end ct74161;architecture rtl of ct74161 issignal count : std_logic_vector(3 downto 0);beginprocess(clk)beginif (clkevent and clk=1) thenif(count=1111) thencount 0);elsecount = count +
10、1;end if ;end if ;end process;clk_div2 = count(0);clk_div4 = count(1);clk_div8 = count(2);clk_div16 = count(3);end rtl;在max+plusii下得到的電路模塊圖形:圖1軟件仿真數(shù)據(jù): 圖 22頻率選擇模塊以下為頻率選擇模塊(tiaopin)的vhdl語(yǔ)言編程源程序library ieee;use ieee.std_logic_1164.all;entity tiaopin is port(s1,s0: in std_logic; a,b,c,d: in std_logic; y
11、: out std_logic);end tiaopin;architecture one of tiaopin is signal s: std_logic_vector(1 downto 0); signal y_temp: std_logic; begin sy_tempy_tempy_tempy_tempy=x; end case; end process; y=y_temp;end one;在max+plusii下得到的電路模塊圖形:圖33波形選擇模塊以下為波形選擇模塊(decoder)的vhdl語(yǔ)言編程源程序library ieee;use ieee.std_logic_1164.
12、all;entity decoder is port(a0,a1,a2,s0,s1,s2:in std_logic; y0,y1,y2,y3,y4,y5,y6,y7:out std_logic);end decoder;architecture ymq of decoder issignal a:std_logic_vector(2 downto 0);begin ay:=11111110; when001=y:=11111101; when010=y:=11111011; when011=y:=11110111; when100=y:=11101111; when101=y:=1101111
13、1; when110=y:=10111111; when111=y:=01111111; when others=y:=xxxxxxxx; end case; else y:=11111111; end if; y0=y(0); y1=y(1); y2=y(2); y3=y(3); y4=y(4); y5=y(5); y6=y(6); y7=y(7); end process; end ymq;在max+plusii下得到的電路模塊圖形:圖4軟件仿真數(shù)據(jù):圖 54.輸出波形選擇模塊以下為輸出波形模塊(lpm)的vhdl語(yǔ)言編程源程序library ieee;use ieee.std_logic
14、_1164.all;use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3:in std_logic; a,b,c,d,e,f,g,h:in std_logic_vector(7 downto 0); z:out std_logic_vector(7 downto 0);end lpm;architecture one of lpm issignal s:std_logic_vector(2 downto 0);beginszzzzzzzznull ;end case;end process;end one;在max+plusii
15、下得到的電路模塊圖形:圖 6軟件仿真數(shù)據(jù):圖 75.幅度控制模塊以下為幅度控制模塊(tiaofu)的vhdl語(yǔ)言編程源程序library ieee;use ieee.std_logic_1164.all;entity tiaofu is port(a,b,c: in std_logic; sel: out std_logic_vector(2 downto 0);end tiaofu;architecture three of tiaofu is begin sel=a&b&c;end three;在max+plusii下得到的電路模塊圖形圖76.da轉(zhuǎn)化模塊:該模塊是通過(guò)硬件實(shí)現(xiàn)的,實(shí)現(xiàn)數(shù)模
16、轉(zhuǎn)化,所用的芯片為dac0832,輸入一個(gè)八位的數(shù)據(jù),輸入值的范圍為0-255,最高幅度為5v。圖87系統(tǒng)總的仿真仿真波形 第四章 實(shí)驗(yàn)結(jié)果及硬件驗(yàn)證引腳鎖定:試驗(yàn)中將脈沖輸入選擇和波形輸出選擇的輸入端接在一起,以實(shí)現(xiàn)同步。接實(shí)驗(yàn)箱的開關(guān),實(shí)現(xiàn)數(shù)字信號(hào)對(duì)模擬波形的控制。將八位輸出端接數(shù)模轉(zhuǎn)換模塊。其引腳鎖定圖如下:用導(dǎo)線連接各引腳,并將實(shí)驗(yàn)設(shè)計(jì)下載到試驗(yàn)箱。用示波器觀察輸出波形。軟件模擬數(shù)據(jù)和示波器顯示波形相吻合,能產(chǎn)生八種穩(wěn)定的波形,具有八種幅度調(diào)節(jié)和四種頻率選擇。 圖2:實(shí)驗(yàn)硬件驗(yàn)證圖圖3:實(shí)驗(yàn)產(chǎn)生正弦波波形圖圖4鋸齒波波形圖圖4鋸齒波點(diǎn)狀波形圖圖6:三角波波形圖圖7:矩形脈沖波形圖圖8:
17、方波圖9:自己設(shè)計(jì)任意波形(1)圖10:梯形波 實(shí)驗(yàn)心得 在這為期一周的課設(shè)中,我完成了信號(hào)發(fā)生器的設(shè)計(jì),并能夠完成它所需要實(shí)現(xiàn)的功能。 通過(guò)這次課程設(shè)計(jì),是我懂得了理論與實(shí)際相結(jié)合的重要性。只有理論的只是是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論才能真正學(xué)以致用,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中,遇到了許多問題,發(fā)現(xiàn)和認(rèn)識(shí)到自己的不足之處,對(duì)以前所學(xué)過(guò)的只是理解得深度不夠,掌握得不夠牢固。通過(guò)這一次的課程設(shè)計(jì),對(duì)以往所學(xué)過(guò)的知識(shí)有了很好的把握。同時(shí),也感受到用硬件描述性語(yǔ)言的形式進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活。利用軟件進(jìn)行編譯、優(yōu)化、仿真,極大地減少了電路的設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤,降低了開發(fā)的成本,這種設(shè)計(jì)方法必將在未來(lái)的數(shù)字系統(tǒng)中發(fā)揮越來(lái)越重要的作用。 希望自己在以后的生活中能夠多接觸這方面知識(shí),可以有更多的機(jī)會(huì)將理論與實(shí)際相結(jié)合。 致謝詞 首先,我深深地感謝我的老師朱路博士。在這個(gè)學(xué)期的學(xué)習(xí)中,朱路老師給予我很多熱情的鼓
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年農(nóng)業(yè)科技園區(qū)場(chǎng)地合作經(jīng)營(yíng)協(xié)議書4篇
- 科技禮儀在商務(wù)中的應(yīng)用
- 兩人合伙買房協(xié)議書標(biāo)準(zhǔn)版
- 2025年度茶葉品牌授權(quán)經(jīng)營(yíng)合同書4篇
- 個(gè)人信用貸款協(xié)議2024年匯編
- 專業(yè)洗車工2024年服務(wù)協(xié)議樣本版A版
- 2025年度體育產(chǎn)業(yè)市場(chǎng)調(diào)研服務(wù)合同書4篇
- 二零二四年一帶一路建設(shè)項(xiàng)目合同
- 2025年度智能交通系統(tǒng)規(guī)劃與設(shè)計(jì)合同范本下載4篇
- 2025年度酒店場(chǎng)地經(jīng)營(yíng)承包協(xié)議范本3篇
- 割接方案的要點(diǎn)、難點(diǎn)及采取的相應(yīng)措施
- 2025年副護(hù)士長(zhǎng)競(jìng)聘演講稿(3篇)
- 2025至2031年中國(guó)臺(tái)式燃?xì)庠钚袠I(yè)投資前景及策略咨詢研究報(bào)告
- 原發(fā)性腎病綜合征護(hù)理
- 第三章第一節(jié)《多變的天氣》說(shuō)課稿2023-2024學(xué)年人教版地理七年級(jí)上冊(cè)
- 2025年中國(guó)電科集團(tuán)春季招聘高頻重點(diǎn)提升(共500題)附帶答案詳解
- 2025年度建筑施工現(xiàn)場(chǎng)安全管理合同2篇
- 建筑垃圾回收利用標(biāo)準(zhǔn)方案
- 2024年考研英語(yǔ)一閱讀理解80篇解析
- 樣板間合作協(xié)議
- 福建省廈門市2023-2024學(xué)年高二上學(xué)期期末考試語(yǔ)文試題(解析版)
評(píng)論
0/150
提交評(píng)論