畢業(yè)設(shè)計(論文)基于PLC的四層電梯控制系統(tǒng)設(shè)計_第1頁
畢業(yè)設(shè)計(論文)基于PLC的四層電梯控制系統(tǒng)設(shè)計_第2頁
畢業(yè)設(shè)計(論文)基于PLC的四層電梯控制系統(tǒng)設(shè)計_第3頁
畢業(yè)設(shè)計(論文)基于PLC的四層電梯控制系統(tǒng)設(shè)計_第4頁
畢業(yè)設(shè)計(論文)基于PLC的四層電梯控制系統(tǒng)設(shè)計_第5頁
已閱讀5頁,還剩21頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、嘉興職業(yè)技術(shù)學(xué)院畢 業(yè) 設(shè) 計 (論 文) 題目名稱:基于plc的四層電梯控制系統(tǒng)設(shè)計 姓 名: 所在分院:機(jī)電與汽車 專業(yè)班級:電子123 指導(dǎo)教師: 2015年6月11日 基于plc的四層電梯控制系統(tǒng)設(shè)計引言 plc(可編程控制器)作為一種工業(yè)控制微型計算機(jī),它以其編程方便、操作簡單尤其是它的高可控性等優(yōu)點(diǎn),在工業(yè)生產(chǎn)過程中得到了廣泛的應(yīng)用。它應(yīng)用大規(guī)模集成電路,微型機(jī)技術(shù)和通訊技術(shù)的發(fā)展成果,逐步形成了具有多種優(yōu)點(diǎn)和微型,中型,大型,超大型等各種規(guī)格的系列產(chǎn)品,應(yīng)用于從繼電器控制系統(tǒng)到監(jiān)控計算機(jī)之間的許多控制領(lǐng)域。隨著社會的不斷發(fā)展,樓房越來越高,而電梯成為了高層樓房的必須設(shè)備。電梯從手

2、柄開關(guān)操縱電梯、按鈕控制電梯發(fā)展到了現(xiàn)在的群控電梯,為高層運(yùn)輸做出了不可磨滅的貢獻(xiàn)。plc在電梯升降控制上的應(yīng)用主要體現(xiàn)在它的邏輯開關(guān)控制功能。由于plc具有邏輯運(yùn)算,計數(shù)和定時以及數(shù)據(jù)輸入輸出的功能。在電梯升降過程中,各種邏輯開關(guān)控制與plc很好的結(jié)合,很好的實(shí)現(xiàn)了對的控制。本文主要討論研究利用plc對電梯進(jìn)行控制的問題。關(guān)鍵詞 plc,電梯工作原理,升降調(diào)試目錄1課題任務(wù)的分析1 1.1電梯硬件的分析11.1.1 電梯的組成11.1.2電梯的工作原理2 1.2 電梯控制方法的分析2 1.3 整體設(shè)計流程的確定32 可編程控制器的機(jī)型選42.1可編程控制器控制系統(tǒng)的i/o點(diǎn)數(shù)估算42.1.1

3、控制電磁閥等所需的i/o點(diǎn)數(shù)42.1.2控制交流電機(jī)所需的i/o點(diǎn)數(shù)42.1.3控制直流電動機(jī)所需的i/o點(diǎn)數(shù)42.2內(nèi)存的估計42.2.1內(nèi)存利用率的說明42.2.2開關(guān)量輸入輸出的點(diǎn)數(shù)的確定42.2.3模擬量輸入輸出的總點(diǎn)數(shù)的確定42.2.4程序編寫質(zhì)量的計算52.3響應(yīng)時間的分析52.4輸入輸出模塊的選擇52.5機(jī)型的確定53硬件設(shè)計6 3.1電路圖原理及其分析6 3.1.1電梯原理分析73.2硬件設(shè)計83.2輸入/輸出的分配83.2.1輸入83.2.2輸出94軟件設(shè)計104.1梯形圖105程序調(diào)試、運(yùn)行125.1正常情況下程序調(diào)試125.2過程分析125.2.1用指示燈來模擬電梯的運(yùn)行

4、過程126總結(jié)20 7致謝與參考文獻(xiàn)1課題任務(wù)的分析1.1電梯硬件的分析1.1.1 電梯的組成(1)曳引系統(tǒng)曳引系統(tǒng)的主要功能是輸出與傳遞動力,使電梯運(yùn)行。曳引系統(tǒng)主要由曳引機(jī)、曳引鋼絲繩,導(dǎo)向輪,反繩輪組成。(2)導(dǎo)向系統(tǒng)導(dǎo)向系統(tǒng)的主要功能是限制轎廂和對重的活動自由度,使轎廂和對重只能沿著導(dǎo)軌作升降運(yùn)動。導(dǎo)向系統(tǒng)主要由導(dǎo)軌,導(dǎo)靴和導(dǎo)軌架組成。(3)轎廂轎廂是運(yùn)送乘客和貨物的電梯組件,是電梯的工作部分。轎廂由轎廂架和轎廂體組成。(4)門系統(tǒng)門系統(tǒng)的主要功能是封住層站入口和轎廂入口。門系統(tǒng)由轎廂門,層門,開門機(jī),門鎖裝置組成。(5)重量平衡系統(tǒng)系統(tǒng)的主要功能是相對平衡轎廂重量,在電梯工作中能使轎

5、廂與對重間的重量差保持在限額之內(nèi),保證電梯的曳引傳動正常。系統(tǒng)主要由對重和重量補(bǔ)償裝置組成。(6)電力拖動系統(tǒng)電力拖動系統(tǒng)的功能是提供動力,實(shí)行電梯速度控制。電力拖動系統(tǒng)由曳引電動機(jī),供電系統(tǒng),速度反饋裝置,電動機(jī)調(diào)速裝置等組成。(7)電氣控制系統(tǒng)電氣控制系統(tǒng)的主要功能是對電梯的運(yùn)行實(shí)行操縱和控制。電氣控制系統(tǒng)主要由操縱裝置,位置顯示裝置,控制屏(柜),平層裝置,選層器等組成。(8)安全保護(hù)系統(tǒng)保證電梯安全使用,防止一切危及人身安全的事故發(fā)生。由限速器,安全鉗,緩沖器,端站保護(hù)裝置組成。1.1.2電梯的工作原理曳引繩兩端分別連著轎廂和對重,纏繞在曳引輪和導(dǎo)向輪上,曳引電動機(jī)通過減速器變速后帶動

6、曳引輪轉(zhuǎn)動,靠曳引繩與曳引輪摩擦產(chǎn)生的牽引力,實(shí)現(xiàn)轎廂和對重的升降運(yùn)動,達(dá)到運(yùn)輸目的。固定在轎廂上的導(dǎo)靴可以沿著安裝在建筑物井道墻體上的固定導(dǎo)軌往復(fù)升降運(yùn)動,防止轎廂在運(yùn)行中偏斜或擺動。常閉塊式制動器在電動機(jī)工作時松閘,使電梯運(yùn)轉(zhuǎn),在失電情況下制動,使轎廂停止升降,并在指定層站上維持其靜止?fàn)顟B(tài),供人員和貨物出入。轎廂是運(yùn)載乘客或其他載荷的箱體部件,對重用來平衡轎廂載荷、減少電動機(jī)功率。補(bǔ)償裝置用來補(bǔ)償曳引繩運(yùn)動中的張力和重量變化,使曳引電動機(jī)負(fù)載穩(wěn)定,轎廂得以準(zhǔn)確??俊k姎庀到y(tǒng)實(shí)現(xiàn)對電梯運(yùn)動的控制,同時完成選層、平層、測速、照明工作。指示呼叫系統(tǒng)隨時顯示轎廂的運(yùn)動方向和所在樓層位置。安全裝置保

7、證電梯運(yùn)行安全。1.2 電梯控制方法的分析隨著科學(xué)技術(shù)的發(fā)展、近年來,我國的電梯生產(chǎn)技術(shù)得到了迅速發(fā)展。目前電梯控制系統(tǒng)主要有三種控制方式:繼電路控制系統(tǒng)(“早期安裝的電梯多位繼電器控制系統(tǒng))、plc控制系統(tǒng)、微機(jī)控制系統(tǒng)。繼電器控制系統(tǒng)由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點(diǎn),目前已逐漸被淘汰。微機(jī)控制系統(tǒng)雖在智能控制方面有較強(qiáng)的功能,但也存在抗擾性差,系統(tǒng)設(shè)計復(fù)雜,一般維修人員難以掌握其維修技術(shù)等缺陷。而plc控制系統(tǒng)由于運(yùn)行可靠性高,使用維修方便,抗干擾性強(qiáng),設(shè)計和調(diào)試周期較短等優(yōu)點(diǎn),倍受人們重視等優(yōu)點(diǎn),已成為目前在電梯控制系統(tǒng)中使用最多的控制方式,目前也廣泛用于傳統(tǒng)繼

8、電器控制系統(tǒng)的技術(shù)改造目前國內(nèi)七八十年代安裝的許多電梯電氣部分用繼電器接觸器控制系統(tǒng),線路復(fù)雜,接線多,故障率高,維修保養(yǎng)難,許多已處于閑置狀態(tài),其拽引系統(tǒng)多采用交流雙速電機(jī)系統(tǒng)換速,效率低,調(diào)速性能指標(biāo)較差,嚴(yán)重影響電梯運(yùn)行質(zhì)量。由于這些電梯交流調(diào)壓調(diào)速系統(tǒng),交流雙速電機(jī)拖動系統(tǒng)性能及乘坐舒適感較差,交流調(diào)壓調(diào)速系統(tǒng)屬能耗型調(diào)速的機(jī)械部分無大問題,為節(jié)約資金,大部分老式電梯用戶希望對電梯的電氣控制系統(tǒng)進(jìn)行改造,提高電梯的運(yùn)行性能。因此對電梯控制技術(shù)進(jìn)行研究,尋找適合我國老式電梯的改造方法具有十分重要的意義電梯作為高層建筑物的重要交通工具與人們的工作和生活日益緊密聯(lián)系。plc作為新一代工業(yè)控制

9、器,以其高可靠性和技術(shù)先進(jìn)性,在電梯控制中得到廣泛應(yīng)用,從而使電梯由傳統(tǒng)的繼電器控制方式發(fā)展為計算機(jī)控制的一個重要方向,成為當(dāng)前電梯控制和技術(shù)改造的熱點(diǎn)之一。自80年代后期plc引入我國電梯行業(yè)以來,由plc組成的電梯控制系統(tǒng)被許多電梯制造廠家普遍采用。并形成了一系列的定型產(chǎn)品。在傳統(tǒng)繼電器系統(tǒng)的改造工程中,plc系統(tǒng)一直是主流控制系統(tǒng)。1.3 整體設(shè)計流程的確定綜上所述,本設(shè)計就以plc作為工具對升降電梯的各種操作進(jìn)行控制。以上已對四層電梯的硬件部分作了分析,看需要什么樣的開關(guān),電機(jī),信號燈等。然后,畫出它的控制面板圖,再根據(jù)控制面板圖估計一下i/o點(diǎn)數(shù),這樣可以確定所選機(jī)型,然后在軟件設(shè)計

10、,寫出流程圖,梯形圖,寫出語句。最后是進(jìn)行調(diào)試,看看此程序是否可行。2 可編程控制器的機(jī)型選2.1可編程控制器控制系統(tǒng)的i/o點(diǎn)數(shù)估算2.1.1控制電磁閥等所需的i/o點(diǎn)數(shù)有電磁閥的動作原理可知,一個單線圈電磁閥用可編程控制器時需兩個輸入及一個輸出;一個雙線圈電磁閥需三個輸入及兩個輸出;一個比例式電磁閥需三個輸入及五個輸出。一個按鈕需一個輸入;一個光電開關(guān)要占用一個或兩個輸入點(diǎn);一個信號占用一個輸出點(diǎn);而波段開關(guān),有幾個波段就占用幾個輸入點(diǎn);一般情況,各種位置開關(guān)都要占用兩個輸入點(diǎn)。根據(jù)上面所述原理分析,本設(shè)計用到十個按鈕,需要十個輸入點(diǎn)。四個位置按鈕,需要八個輸入點(diǎn)。十六個信號燈,需要十六個

11、輸出點(diǎn)。2.1.2控制交流電機(jī)所需的i/o點(diǎn)數(shù)根據(jù)具體情況,本設(shè)計可以不用到交流電機(jī),所以,可以不算上交流電機(jī)的i/o點(diǎn)數(shù)。2.1.3控制直流電動機(jī)所需的i/o點(diǎn)數(shù)本設(shè)計是對電梯的控制,所以,我們根據(jù)情況可知,要控制電梯的上升和下降,需要一個可逆運(yùn)行的直流電機(jī)。這樣,我們需要九個輸入點(diǎn)和六個輸出點(diǎn)。2.2內(nèi)存的估計用戶程序所需內(nèi)存容量要受到下面幾個因素的影響:內(nèi)存利用率;開關(guān)量輸入輸出點(diǎn)數(shù);模擬量輸入輸出點(diǎn)數(shù);用戶的編程水平。2.2.1內(nèi)存利用率的說明我們把一個程序段中的接點(diǎn)數(shù)與存放該程序段所代表的機(jī)器語言所需的內(nèi)存字?jǐn)?shù)的比值稱為利用率。2.2.2開關(guān)量輸入輸出的點(diǎn)數(shù)的確定一般系統(tǒng)中,開關(guān)量輸

12、入和開關(guān)量輸出的比為6:4。這方面的經(jīng)驗(yàn)公司是根據(jù)開關(guān)量輸入、開關(guān)量輸出的總點(diǎn)數(shù)給出的。所需內(nèi)存字?jǐn)?shù)=開關(guān)量(輸入+輸出)總點(diǎn)數(shù)*102.2.3模擬量輸入輸出的總點(diǎn)數(shù)的確定只有模擬量輸入時:內(nèi)存字?jǐn)?shù)=模擬量點(diǎn)數(shù)*100模擬量輸入輸出同時存在:內(nèi)存擬量字?jǐn)?shù)*2002.2.4程序編寫質(zhì)量的計算經(jīng)驗(yàn)計算公式:總存儲器字?jǐn)?shù)=(開關(guān)量輸入點(diǎn)數(shù)+開關(guān)量輸出點(diǎn)數(shù))*10+模擬量點(diǎn)數(shù)*150。然后按計算存儲器字?jǐn)?shù)的25%考慮裕量。2.3響應(yīng)時間的分析可編程控制器順序掃描的工作方式使它不能可靠的接收持續(xù)時間小于掃描周期的輸入信號。系統(tǒng)響應(yīng)時間是指輸入信號產(chǎn)生時刻與由此而使輸出信號狀態(tài)發(fā)生變化時刻的時間間隔。系統(tǒng)

13、響應(yīng)時間=輸入濾波時間+輸出濾波時間+掃描周期。2.4輸入輸出模塊的選擇可編程控制器輸入模塊是檢測并轉(zhuǎn)換來自現(xiàn)場設(shè)備(按鈕、限位開關(guān)、接近開關(guān)等)的高電平信號為機(jī)器內(nèi)部電平信號,模型類型分直流5、12、24、48、60v幾種;交流115v和220v兩種。模塊輸出的任務(wù)是將機(jī)器內(nèi)部信號電平轉(zhuǎn)換為外部過程的控制信號。輸出模塊同時接通點(diǎn)數(shù)的電流累計值必須小于公共段所允許通過的電流值。輸出模塊的電流值必須大于負(fù)載電流的額定值。2.5機(jī)型的確定綜上所述,根據(jù)具體情況,我們選擇三菱的fx系列。輸入輸出點(diǎn)數(shù)為34點(diǎn),電機(jī)20點(diǎn),考慮10%到15%的i/o裕量,我們選擇fx2n-64mr這種型號。3硬件設(shè)計3

14、.1電梯原理圖及其分析3.1.1電梯原理分析電梯的安全保護(hù)裝置用于電梯的啟??刂?;轎廂操作盤用于轎廂門的關(guān)閉,轎廂需要到達(dá)的樓層等的控制;廳外呼叫的主要作用是當(dāng)有人員進(jìn)行呼叫時,電梯能夠準(zhǔn)確達(dá)到呼叫位置;指層器用于顯示電梯達(dá)到的具體位置;拖動控制用于控制電梯的起停、加速、減速等功能;門機(jī)控制主要用于控制當(dāng)電梯達(dá)到一定位置后,電梯門應(yīng)該能夠自動打開,或者門外有乘電梯人員要求乘梯時,電梯門應(yīng)該能夠自動打開。 電梯控制系統(tǒng)結(jié)構(gòu)圖3.2硬件配置簡介plc產(chǎn)品出現(xiàn)以來,它以面向工業(yè)控制的鮮明特點(diǎn),普遍受到電器控制領(lǐng)域的歡迎。特別是中小容量plc成功取代了傳統(tǒng)的繼電控制系統(tǒng),使得控制系統(tǒng)的可靠性大大提高。

15、目前各國生產(chǎn)的plc品種繁多,發(fā)展速度快。3.2輸入/輸出的分配3.2.1輸入表5.6輸入序號名稱輸入點(diǎn)序號名稱輸入點(diǎn)0四層內(nèi)選按鈕s4x0007一層上呼按鈕u1x0071三層內(nèi)選按鈕s3x0018二層上呼按鈕u2x0102二層內(nèi)選按鈕s2x0029三層上呼按鈕u3x0113一層內(nèi)選按鈕s1x00310一層行程開關(guān)sq1x0124四層下呼按鈕d4x00411二層行程開關(guān)sq2x0135三層下呼按鈕d3x00512三層行程開關(guān)sq3x0146二層下呼按鈕d2x00613四層行程開關(guān)sq4x0153.2.2輸出表5.7輸出序號名稱輸出點(diǎn)序號名稱輸出點(diǎn)0四層指示l4y0008二層內(nèi)選指示sel2y0

16、101三層指示l3y0019一層內(nèi)選指示sel1y0112二層指示l2y00210一層上呼指示up1y0123一層指示l1y00311二層上呼指示up2y0134轎箱下降指示downy00412三層上呼指示up3y0145轎箱上升指示upy00513二層下呼指示dn2y0156四層內(nèi)選指示sl4y00614三層下呼指示dn3y0167三層內(nèi)選指示sl3y00715四層下呼指示dn4y0174軟件設(shè)計4.1梯形圖 5程序調(diào)試、運(yùn)行通過手動編程器輸入plc,在操作中主要是熟悉fx系列可編程控制的功能、指令代碼。將編程器插在基本單元上,將基本單元與編程器置于編程狀態(tài),然后消除用戶程序存儲器,輸入控制

17、程序。5.1正常情況下程序調(diào)試先按i/o接口圖接好線,輸入正常情況下的程序指令,啟動運(yùn)行。5.2過程分析電梯在一、二、三、四層樓分別設(shè)置一個行程開關(guān),在轎箱內(nèi)設(shè)置四個樓層內(nèi)選按鈕。在行程開關(guān)sq1、sq2、sq3、sq4都斷開的情況下,呼叫不起作用。5.2.1用指示燈來模擬電梯的運(yùn)行過程(1)從一層到二層:接通x012即接通sq1,表示轎箱原停樓層1,按s2,即x002接通一下,表示呼叫樓層2,則y010接通,二層內(nèi)選指示燈sel2亮,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮。直至sq2接通,y010斷開(二層內(nèi)選指示燈sel2滅)

18、,y005斷開(表示電梯上升停止),二層指示燈l2滅,電梯到達(dá)二層。在轎箱原停樓層為1時,按u2,電梯運(yùn)行過程同上。(2)從一層到三層:接通x012即接通sq1,表示轎箱原停樓層1,按s3,即x001接通一下,表示呼叫樓層3,則y007接通,三層內(nèi)選指示燈sel3亮,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮;過2秒后,二層指示燈l2滅、三層指示燈l3亮。直至sq3接通,y007斷開(三層內(nèi)選指示燈sel3滅),y005斷開(表示電梯上升停止),三層指示燈l3滅,電梯到達(dá)三層。在轎箱原停樓層為1時,按u3,電梯運(yùn)行過程同上。(3)從一

19、層到四層:接通x012即接通sq1,表示轎箱原停樓層1,按s4,即x002接通一下,表示呼叫樓層4,則y006接通,四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮;過2秒后,二層指示燈l2滅、三層指示燈l3亮;過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y005斷開(表示電梯上升停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為1時,按d4,電梯運(yùn)行過程同上。(4)從二層到三層:接通x013即接通sq2,表示轎箱原停樓層2,按s3,即x001接通一

20、下,表示呼叫樓層3,則y007接通,三層內(nèi)選指示燈sel3亮,y005接通,表示電梯上升。斷開sq2,二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮。直至sq3接通,y007斷開(四層內(nèi)選指示燈sel4滅),y005斷開(表示電梯上升停止),三層指示燈l3滅,電梯到達(dá)三層。在轎箱原停樓層為2時,按u3,電梯運(yùn)行過程同上。(5)二層到四層:接通x013即接通sq2,表示轎箱原停樓層2,按s4,即x000接通一下,表示呼叫樓層4,則y006接通,四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq2,二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮;過2秒后

21、,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y005斷開(表示電梯上升停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為2時,按d4,電梯運(yùn)行過程同上。(6)從三層到四層:接通x014即接通sq3,表示轎箱原停樓層3,按s4,即x000接通一下,表示呼叫樓層4,則y006接通,四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq3,三層指示燈l3亮,過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y005斷開(表示電梯上升停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停

22、樓層為3時,按d4,電梯運(yùn)行過程同上。(7)四層到三層:接通x015即接通sq4,表示轎箱原停樓層4,按s3,即x001接通一下,表示呼叫樓層3,則y007接通,三層內(nèi)選指示燈sel3亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3亮。直至sq3接通,y007斷開(三層內(nèi)選指示燈sel3滅),y004斷開(表示電梯下降停止),三層指示燈l3滅,電梯到達(dá)三層。在轎箱原停樓層為4時,按d3,電梯運(yùn)行過程同上。(8)四層到二層:接通x015即接通sq4,表示轎箱原停樓層4,按s2,即x002接通一下,表示呼叫樓層2,則y010接通,二層內(nèi)選指示

23、燈sel2亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮。直至sq2接通,y010斷開(二層內(nèi)選指示燈sel2滅),y004斷開(表示電梯下降停止),二層指示燈l2滅,電梯到達(dá)二層。在轎箱原停樓層為4時,按d2,電梯運(yùn)行過程同上。(9)從四層到一層:接通x015即接通sq4,表示轎箱原停樓層4,按s1,即x003接通一下,表示呼叫樓層1,則y011接通,一層內(nèi)選指示燈sel1亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3;過2秒后,

24、三層指示燈l3滅、二層指示燈l2亮;過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq1接通,y011斷開(一層內(nèi)選指示燈sel1滅),y004斷開(表示電梯下降停止),一層指示燈l1滅,電梯到達(dá)一層。在轎箱原停樓層為4時,按u1,電梯運(yùn)行過程同上。(10)三層到二層:接通x014即接通sq3,表示轎箱原停樓層3,按s2,即x002接通一下,表示呼叫樓層2,則y010接通,二層內(nèi)選指示燈sel2亮,y004接通,表示電梯下降。斷開sq3,三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮。直至sq2接通,y010斷開(二層內(nèi)選指示燈sel2滅),y004斷開(表示電梯下降停止)

25、,二層指示燈l2滅,電梯到達(dá)二層。在轎箱原停樓層為3時,按d2,電梯運(yùn)行過程同上。(11)三層到一層:接通x014即接通sq3,表示轎箱原停樓層3,按s1,即x003接通一下,表示呼叫樓層1,則y011接通,一層內(nèi)選指示燈sel1亮,y004接通,表示電梯下降。斷開sq3,三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮;過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq1接通,y011斷開(一層內(nèi)選指示燈sel1滅),y004斷開(表示電梯下降停止),一層指示燈l1滅,電梯到達(dá)一層。在轎箱原停樓層為3時,按u1,電梯運(yùn)行過程同上。(12)從二層到一層:接通x013即接通sq

26、2,表示轎箱原停樓層2,按s1,即x003接通一下,表示呼叫樓層1,則y011接通,一層內(nèi)選指示燈sel1亮,y004接通,表示電梯下降。斷開sq2,二層指示燈l2亮,過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq1接通,y011斷開(一層內(nèi)選指示燈sel1滅),y004斷開(表示電梯下降停止),一層指示燈l1滅,電梯到達(dá)一層。在轎箱原停樓層為2時,按u1,電梯運(yùn)行過程同上。(13)從一層到二、三、四層:接通x012即接通sq1,表示轎箱原停樓層1,按s2、s3、s4,即x000、x001、x002接通一下,表示呼叫樓層為2、3、4,則y010、y006、y007接通,二層內(nèi)選指示燈s

27、el2、三層內(nèi)選指示燈sel3、四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮;sq3閉合后,三層指示燈l3滅、三層內(nèi)選指示燈sel3滅,sq3斷開后,三層指示燈l3亮,過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y004斷開(表示電梯下降停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為1時,按u2、u3、d4,電梯運(yùn)行過程同上。(14) 從一層到二、三層:接通x012即接通sq1,表示轎箱原停樓層1

28、,按s2、s3,即x001、x002接通一下,表示呼叫樓層2、3,則y010、y007接通,二層內(nèi)選指示燈sel2、三層內(nèi)選指示燈sel3,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮;sq2閉合后,二層指示燈l2滅、二層內(nèi)選指示燈sel2滅,sq2斷開后,二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮。直至sq3接通,y007斷開(三層內(nèi)選指示燈sel3滅),y004斷開(表示電梯下降停止),三層指示燈l3滅,電梯到達(dá)三層。在轎箱原停樓層為1時,按u2、u3,電梯運(yùn)行過程同上。(15)從一層到三、四層:接通x012即接

29、通sq1,表示轎箱原停樓層1,按s3、s4,即x000、x001接通一下,表示呼叫樓層為3、4,則y006、y007接通,三層內(nèi)選指示燈sel3、四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮;過2秒后,二層指示燈l2滅、三層指示燈l3亮;sq3閉合后,三層指示燈l3滅、三層內(nèi)選指示燈sel3滅,sq3斷開后,三層指示燈l3亮,過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y004斷開(表示電梯下降停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為1

30、時,按u3、u4,電梯運(yùn)行過程同上。(16)一層到二、四層:接通x012即接通sq1,表示轎箱原停樓層1,按s2、s4,即x000、x002接通一下,表示呼叫樓層2、4,則y006、y010接通,二層內(nèi)選指示燈sel2、四層內(nèi)選指示燈sel4,y005接通,表示電梯上升。斷開sq1,一層指示燈l1亮,過2秒后,一層指示燈l1滅、二層指示燈l2亮;sq2閉合后,二層指示燈l2滅、二層內(nèi)選指示燈sel2滅,sq2斷開后,二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮;過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指示燈sel4滅),y005斷開

31、(表示電梯上升停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為1時,按u2、d4,電梯運(yùn)行過程同上。(17)二層到三、四層:接通x013即接通sq2,表示轎箱原停樓層2,按s3、s4,即x000、x001接通一下,表示呼叫樓層為3、4,則y006、y007接通,三層內(nèi)選指示燈sel3、四層內(nèi)選指示燈sel4亮,y005接通,表示電梯上升。斷開sq1,二層指示燈l2亮,過2秒后,二層指示燈l2滅、三層指示燈l3亮;sq3閉合后,三層指示燈l3滅、三層內(nèi)選指示燈sel3滅,sq2斷開后,三層指示燈l3亮,過2秒后,三層指示燈l3滅、四層指示燈l4亮。直至sq4接通,y006斷開(四層內(nèi)選指

32、示燈sel4滅),y005斷開(表示電梯上升停止),四層指示燈l4滅,電梯到達(dá)四層。在轎箱原停樓層為2時,按u3、d4,電梯運(yùn)行過程同上。(18)從三層到二、一層:接通x014即接通sq3,表示轎箱原停樓層3,按s2、s1,即x002、x003接通一下,表示呼叫樓層為2、1,則y010、y011接通,二層內(nèi)選指示燈sel2、一層內(nèi)選指示燈sel1亮,y004接通,表示電梯下降。斷開sq3,三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮;sq2閉合后,二層指示燈l2后、二層內(nèi)選指示燈sel2滅,sq2斷開后,二層指示燈l2亮,過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq

33、1接通,y011斷開(一層內(nèi)選指示燈sel1滅),y004斷開(表示電梯下降停止),一層指示燈l1滅,電梯到達(dá)一層。在轎箱原停樓層為3時,按d2、u1,電梯運(yùn)行過程同上。(19)四層到三、二、一層:接通x015即接通sq4,表示轎箱原停樓層4,按s1、s2、s3,即x001、x002、x003接通一下,表示呼叫樓層為1、2、3,則y007、y010、y011接通,一層內(nèi)選指示燈sel1、二層內(nèi)選指示燈sel2、三層內(nèi)選指示燈sel3亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3亮;sq3閉合后,三層指示燈l3滅、三層內(nèi)選指示燈sel3滅

34、,sq3斷開后,三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮;sq2閉合后,二層指示燈l2滅、二層內(nèi)選指示燈sel2滅,sq2斷開后,二層指示燈l2亮,過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq1接通,y011斷開(一層內(nèi)選指示燈sel1滅),y004斷開(表示電梯下降停止),一層指示燈l1滅,電梯到達(dá)一層。在轎箱原停樓層為4時,按u1、d2、d3,電梯運(yùn)行過程同上。(20)四層到三、二層:接通x015即接通sq4,表示轎箱原停樓層4,按s2、s3,即x001、x002接通一下,表示呼叫樓層2、3,則y007、y010接通,二層內(nèi)選指示燈sel2、三層內(nèi)選指示燈s

35、el3亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3亮;sq3閉合后,三層指示燈l3滅、三層內(nèi)選指示燈sel3滅,sq3斷開后,三層指示燈l3亮,過2秒后,三層指示燈l3滅、二層指示燈l2亮。直至sq2接通,y010斷開(二層內(nèi)選指示燈sel2滅)y004斷開(表示電梯下降停止),二層指示燈l2滅,電梯到達(dá)二層。在轎箱原停樓層為4時,按d2、d3,電梯運(yùn)行過程同上。四層到二、一層:接通x015即接通sq4,表示轎箱原停樓層4,按s1、s2,即x002、x003接通一下,表示呼叫樓層為1、2,則y010、y011接通,一層內(nèi)選指示燈sel1、二層內(nèi)選指示燈sel2亮,y004接通,表示電梯下降。斷開sq4,四層指示燈l4亮,過2秒后,四層指示燈l4滅、三層指示燈l3亮;過2秒后,三層指示燈l3滅、二層指示燈l2亮;sq2閉合后,二層指示燈l2滅、二層內(nèi)選指示燈sel2滅,sq2斷開后,二層指示燈l2亮,過2秒后,二層指示燈l2滅、一層指示燈l1亮。直至sq1接通

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論