基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)-畢業(yè)設(shè)計(jì)_第1頁(yè)
基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)-畢業(yè)設(shè)計(jì)_第2頁(yè)
基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)-畢業(yè)設(shè)計(jì)_第3頁(yè)
基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)-畢業(yè)設(shè)計(jì)_第4頁(yè)
基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)-畢業(yè)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩61頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、(2010屆)本科畢業(yè)設(shè)計(jì)(論文)資料題 目 名 稱: 基于FPGA的軟件無(wú)線電中 數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn) 學(xué) 院(部): 計(jì)算機(jī)與通信學(xué)院 專 業(yè): 通信工程 學(xué) 生 姓 名: 班 級(jí): 學(xué)號(hào) 指導(dǎo)教師姓名: 職稱 最終評(píng)定成績(jī): 湖南工業(yè)大學(xué)教務(wù)處2010屆本科畢業(yè)設(shè)計(jì)(論文)資料第一部分 設(shè)計(jì)說(shuō)明書(shū) (2010屆)本科生畢業(yè)設(shè)計(jì)(論文)基于FPGA的軟件無(wú)線電中數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)學(xué) 院(部): 計(jì)算機(jī)與通信學(xué)院 專 業(yè): 通信工程 學(xué) 生 姓 名: 班 級(jí): 學(xué)號(hào) 指導(dǎo)教師姓名: 職稱 最終評(píng)定成績(jī): 湖南工業(yè)大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)摘 要 軟件無(wú)線電的核心思想是在通用的硬

2、件平臺(tái)上加載不同的通信軟件,以實(shí)現(xiàn)不同通信方式之間的轉(zhuǎn)換。這種全新的設(shè)計(jì)理念使通信中的硬件設(shè)備可以適應(yīng)各種不同的通信方式,本文研究的項(xiàng)目是基于FPGA的軟件無(wú)線電中數(shù)字上下變頻,文中給出了一種基于FPGA的數(shù)字上下變頻器的系統(tǒng)設(shè)計(jì)方案,并用Quartus II進(jìn)行仿真并驗(yàn)證了設(shè)計(jì)的可行性。本文首先簡(jiǎn)要介紹了軟件無(wú)線電的基本結(jié)構(gòu),認(rèn)真分析了其關(guān)鍵技術(shù)數(shù)字上下變頻的各個(gè)部分和功能原理。然后分別對(duì)各功能模塊進(jìn)行設(shè)計(jì),包括數(shù)控振蕩器NCO的設(shè)計(jì)、數(shù)字信號(hào)抽取濾波器(CIC積分梳狀濾波器、HB半帶濾波器)的設(shè)計(jì)、FIR整形濾波器的設(shè)計(jì)、SCIC內(nèi)插濾波器的設(shè)計(jì)。在此基礎(chǔ)上,用VHDL語(yǔ)言進(jìn)行描述,通過(guò)Q

3、uartus II平臺(tái)進(jìn)行編譯,得到正確的仿真結(jié)果,以驗(yàn)證FPGA設(shè)計(jì)的可行性。關(guān)鍵詞:軟件無(wú)線電,上下變頻器,F(xiàn)PGA, VHDL 32湖南工業(yè)大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)ABSTRACTThe core idea of software radio is in the general hardware platform of communi- cation software, loaded with different ways of communication between the conversions. This new design make different communica

4、tion hardware equipment different communication, this discusses project is an FPGA-based system of UUC and UDC in the software radio. The paper put up a method of digital converter system design based on FPGA and research, and then simulation by Quartus II and proved the feasibility of the design.Fi

5、rstly, this article briefly introduces the basic structure of software radio, and analyzes in detail its key technologydigital frequency parts of the functions and pri- nciples. Then separately design function module, including the NCOs design, digital signal decimation filter(CIC integral comb filt

6、er、HB half band filter)design, FIR plastic filters design, SCIC interpolation filters design. At last, on this basis, with VHDL language description and through the Quartus II platform to get the right simulations results, and verify the feasibility of the FPGA design.Keywords: Software radio, DDC/D

7、UC, FPGA, VHDL目 錄第一章 緒論11.1 軟件無(wú)線電概述11.1.1 無(wú)線通信國(guó)內(nèi)外發(fā)展現(xiàn)狀11.1.2 軟件無(wú)線電概念的提出21.2 數(shù)字上下變頻技術(shù)的應(yīng)用與發(fā)展31.2.1 數(shù)字上下變頻技術(shù)的應(yīng)用及發(fā)展31.2.2 FPGA在數(shù)字上下變頻領(lǐng)域的應(yīng)用41.3本論文的內(nèi)容安排4第二章 數(shù)字上下變頻技術(shù)理論基礎(chǔ)62.1 數(shù)字上下變頻理論概述62.1.1 數(shù)字混頻正交變換理論62.1.2 數(shù)字上下變頻原理82.1.3 影響數(shù)字上下變頻器性能的主要因素82.2 多速率數(shù)字信號(hào)處理92.1.1 整數(shù)倍抽取和內(nèi)插92.3 高效數(shù)字濾波122.3.1 積分梳狀濾波器基本理論122.3.2 半

8、帶濾波器的基本理論132.4 數(shù)控振蕩器NCO中采用的CORDIC算法14第三章數(shù)字上下變頻器的設(shè)計(jì)與實(shí)現(xiàn)173.1 基于FPGA的數(shù)字下變頻設(shè)計(jì)原理與方案173.1.1 數(shù)控振蕩器NCO的設(shè)計(jì)173.1.2 CIC抽取濾波器的設(shè)計(jì)203.1.3 HB濾波器的設(shè)計(jì)233.1.4 FIR整形濾波器的設(shè)計(jì)243.2 基于FPGA的數(shù)字上變頻設(shè)計(jì)原理與方案243.2.1 SCIC內(nèi)插濾波器的設(shè)計(jì)24第四章數(shù)字上下變頻器各部分的仿真實(shí)現(xiàn)274.1 NCO的FPGA仿真結(jié)果274.2 五級(jí)級(jí)聯(lián)CIC抽取濾波器FPGA的仿真結(jié)果274.3 半帶濾波器的FPGA仿真結(jié)果284.4 FIR整形濾波器FPGA仿

9、真結(jié)果28結(jié) 論30參考文獻(xiàn)31致 謝33附 錄34第一章 緒論信息時(shí)代的信息傳遞主要依托于通信系統(tǒng)?,F(xiàn)代通信技術(shù)正在以驚人的速度發(fā)展著,它已給人類社會(huì)帶來(lái)了巨大的變化。無(wú)論在軍用上還是在民用上,軟件無(wú)線電技術(shù)一直是現(xiàn)代通信技術(shù)研究的熱點(diǎn)。目前無(wú)線通信領(lǐng)域存在著多種通信體系并存的問(wèn)題。軟件無(wú)線電技術(shù)使單一通信設(shè)備適應(yīng)多種標(biāo)準(zhǔn),實(shí)現(xiàn)多頻段/多模式通信。軟件無(wú)線電作為一種新的無(wú)線通信概念和體制使得通信體制具有很好的通用性與靈活性,并使系統(tǒng)的互聯(lián)與升級(jí)變得非常方便。軟件無(wú)線電的這些特點(diǎn),使其成為繼模擬到數(shù)字、固定到移動(dòng)通信之后的無(wú)線通信領(lǐng)域的第三次突破1。數(shù)字變頻技術(shù)是軟件無(wú)線電技術(shù)的關(guān)鍵技術(shù)之一,

10、它包含數(shù)字上變頻(Digital Upper Conversion)和數(shù)字下變頻(Digital Down Conversion)技術(shù),分別用于發(fā)送設(shè)備和接收設(shè)備中。 本文的理論研究重點(diǎn)是數(shù)字下變頻(DDC)技術(shù),而支撐本文的項(xiàng)目是短波電臺(tái)的中頻數(shù)字化,即包含了數(shù)字下變頻和數(shù)字上變頻,上下變頻在軟件無(wú)線電中是相反的兩個(gè)過(guò)程,因此,數(shù)字上變頻只做適當(dāng)介紹。1.1 軟件無(wú)線電概述1.1.1 無(wú)線通信國(guó)內(nèi)外發(fā)展現(xiàn)狀現(xiàn)代的無(wú)線通信發(fā)展迅速,一方面得到越來(lái)越廣泛的應(yīng)用,而另一方面又由于當(dāng)代無(wú)線通信系統(tǒng)很多,決定了其調(diào)制方式、波形結(jié)構(gòu)、通信協(xié)議、數(shù)字信息的編碼方式和加密方式都不盡相同,因此無(wú)線通信系統(tǒng)之間

11、的這些差異極大地限制了不同系統(tǒng)之間的互連互通,給現(xiàn)代無(wú)線通信的發(fā)展造成了很大的不便?,F(xiàn)在,無(wú)線通信有了長(zhǎng)足的進(jìn)步。通信系統(tǒng)由模擬體制不斷向數(shù)字化體制過(guò)渡,出現(xiàn)了許多中頻數(shù)字化接收機(jī)。例如,德國(guó)R/S公司研制的寬帶數(shù)字化接收機(jī)EBD 900,主要用于無(wú)線電監(jiān)視,其工作頻率范圍為20 MHz2 GHz,搜索速度為4GHz/s (25 kHz帶寬),動(dòng)態(tài)范圍為80dB2。英國(guó)研制的PVS3800接收機(jī),工作頻率范圍為0.5 MHz1GHz,是一種用于電子戰(zhàn)環(huán)境中的寬帶無(wú)線電通信監(jiān)測(cè)接收機(jī),可以實(shí)現(xiàn)搜索、監(jiān)聽(tīng)、分析識(shí)別等功能,還可以根據(jù)需要,通過(guò)加載不同的軟件,靈活地配置成各種不同功能的接收機(jī)。這些接

12、收機(jī)盡管能夠覆蓋多個(gè)頻段,但它們只能工作于單一的頻段和模式,功能相對(duì)較少,靈活性不夠,可擴(kuò)展能力較差,不同電臺(tái)之間仍不能完全互通,無(wú)法完全滿足現(xiàn)代軍事通信的需要。在海灣戰(zhàn)爭(zhēng)中,由于美軍的軍事通信裝備無(wú)論是工作頻段、通信體制還是信息傳輸格式等方面,海、陸、空三軍都是各自為政,互不兼容。結(jié)果導(dǎo)致在作戰(zhàn)時(shí)各軍兵種間無(wú)法進(jìn)行快速溝通、互傳信息情報(bào),充分暴露了軍事通信互通性差、反應(yīng)速度慢、帶寬太窄、效率太低等問(wèn)題。在民用通信中也存在互通性差的問(wèn)題。在歐洲(主要是北歐、西歐)的第一代模擬網(wǎng)發(fā)展過(guò)程中,基本上各自為政,加入歐洲郵電會(huì)議(CEPT)的16個(gè)國(guó)家,分別共使用6種不同的制式。這些模擬通信體系的制式

13、、頻率各不相同,不能互通、兼容。在第二代數(shù)字移動(dòng)通信中,仍有許多種不同的通信體制,如GSM、AMPS、ETACS、PDC、DAMPS、CT2等3,這些體制互不兼容,無(wú)論給用戶還是經(jīng)營(yíng)者都帶來(lái)了極大的不便。除了互通性的問(wèn)題外,新的通信體制和標(biāo)準(zhǔn)不斷提出,通信產(chǎn)品的生存期縮短,開(kāi)發(fā)費(fèi)用上升,使得傳統(tǒng)的通信體制很難適應(yīng)。此外,現(xiàn)在無(wú)線頻帶越來(lái)越擁擠,對(duì)通信系統(tǒng)的頻帶利用率和抗干擾能力要求不斷提高。沿著現(xiàn)代通信系統(tǒng)的發(fā)展方向,很難對(duì)頻帶進(jìn)行重新規(guī)劃,采用新的抗干擾方法需要對(duì)系統(tǒng)結(jié)構(gòu)做較大改動(dòng),代價(jià)太大。目前,硬件工藝水平提高很快,各模塊的性能越來(lái)越好,這就為新結(jié)構(gòu)的實(shí)現(xiàn)提供了先決條件。為了解決這些問(wèn)題

14、,各國(guó)都進(jìn)行了積極的探索,努力使不同設(shè)備既能滿足互通的要求,又能滿足抗干擾、保密性好的要求;既能使通信設(shè)備跟上無(wú)線電飛速發(fā)展的步伐,又能延長(zhǎng)設(shè)備的使用壽命。1.1.2 軟件無(wú)線電概念的提出針對(duì)這一種情況,1992年5月,MILTRE公司的Joe Mitola在美國(guó)國(guó)家遠(yuǎn)程系統(tǒng)會(huì)議上首次明確提出了軟件無(wú)線電(Software Radio)的概念4,這是繼模擬到數(shù)字、固定到移動(dòng)之后,無(wú)線通信領(lǐng)域的又一次重大突破。其基本思想是:將寬帶A/D和D/A變換盡可能地靠近射頻天線,即盡可能早地將接收到的模擬信號(hào)數(shù)字化,最大程度地通過(guò)軟件來(lái)實(shí)現(xiàn)電臺(tái)的各種功能。通過(guò)運(yùn)行不同的算法,軟件無(wú)線電可以實(shí)時(shí)地配置信號(hào)波

15、形,使其能夠提供各種語(yǔ)音編碼、信道調(diào)制、載波頻率、加密算法等無(wú)線電通信業(yè)務(wù)。軟件無(wú)線電臺(tái)不僅可與現(xiàn)有的其它電臺(tái)進(jìn)行通信,還能在兩種不同的電臺(tái)系統(tǒng)間充當(dāng)“無(wú)線電網(wǎng)關(guān)”的作用,使兩者能夠互連互通。軟件無(wú)線電充分利用嵌入通信設(shè)備里專用芯片的可編程能力,提供一種通用的無(wú)線電臺(tái)硬件平臺(tái),這樣既能保持無(wú)線電臺(tái)硬件結(jié)構(gòu)的簡(jiǎn)單化,又能解決由于擁有電臺(tái)類型、性能不同帶來(lái)的無(wú)線電聯(lián)系的困難。這樣就能使軟件無(wú)線電臺(tái)多頻段/多模式/多信道/多速率/多協(xié)議等多功能通信成為可能。由于軟件無(wú)線電具有模塊化、標(biāo)準(zhǔn)化、開(kāi)放性、實(shí)現(xiàn)方便、軟件升級(jí)和系統(tǒng)配置靈活等優(yōu)點(diǎn),因而被廣泛應(yīng)用在第三代移動(dòng)通信系統(tǒng)中。在美國(guó),除了研究基于軟件

16、無(wú)線電的第三代無(wú)線通信系統(tǒng)的多頻段/多模式手機(jī)和基站外,同時(shí)還注意軟件無(wú)線電技術(shù)與計(jì)算機(jī)技術(shù)的融合,為第三代移動(dòng)通信系統(tǒng)提供良好的用戶界面,如M.I.T的Spectrum Ware 4計(jì)劃和Rutgers大學(xué)進(jìn)行的將軟件無(wú)線電技術(shù)應(yīng)用于W-CDMA接收機(jī)的研究 5計(jì)劃開(kāi)發(fā)的美國(guó)軍用軟件無(wú)線電臺(tái)MBMMR,不僅可以應(yīng)對(duì)各種調(diào)制方式的通信波,而且還有被叫作“Voice Bridge”可使不同種類無(wú)線電臺(tái)之間進(jìn)行通信的功能,是在充分考慮了相互通用性、信息維護(hù)、長(zhǎng)期使用性、經(jīng)濟(jì)性之后而開(kāi)發(fā)的一種通信電臺(tái)。我國(guó)對(duì)軟件無(wú)線電技術(shù)也相當(dāng)重視,提出了基于軟件無(wú)線電和智能天線技術(shù)的第三代移動(dòng)通信系統(tǒng)標(biāo)準(zhǔn)TD-S

17、CDMA6,并把軟件無(wú)線電技術(shù)在第三代移動(dòng)通信中的應(yīng)用課題列入國(guó)家“863”計(jì)劃,成為我國(guó)第三代移動(dòng)通信系統(tǒng)的關(guān)鍵技術(shù)之一,開(kāi)展了大量的研究和應(yīng)用開(kāi)發(fā),也取得了不少階段性的科研成果。1.2 數(shù)字上下變頻技術(shù)的應(yīng)用與發(fā)展1.2.1 數(shù)字上下變頻技術(shù)的應(yīng)用及發(fā)展 隨著近年來(lái)現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)器件和通用數(shù)字信號(hào)處理器(DSP)在芯片邏輯規(guī)模和處理速度等方面性能的迅速提高,用硬件編程或軟件編程方式實(shí)現(xiàn)無(wú)線功能的軟件無(wú)線電技術(shù)在理論和實(shí)用化上都趨于成熟和完善7。軟件無(wú)線電技術(shù)只需通過(guò)軟件上的更新就能夠選擇不同的業(yè)務(wù)或調(diào)制方式、追加和修改功能,具有傳統(tǒng)硬件方式所無(wú)法比擬的靈活性、開(kāi)放性和可擴(kuò)展性

18、。因此,軟件無(wú)線電技術(shù)已經(jīng)被越來(lái)越廣泛地應(yīng)用于蜂窩通信及各種軍用和民用的無(wú)線系統(tǒng)中。軟件無(wú)線電的核心思想是對(duì)天線感應(yīng)的射頻模擬信號(hào)盡可能的直接數(shù)字化,將其變換為適合DSP器件8或計(jì)算機(jī)處理的數(shù)據(jù)流,然后通過(guò)軟件來(lái)完成各種功能。在現(xiàn)階段,由于受各種關(guān)鍵器件,特別是受ADC/DAC(模數(shù)、數(shù)模變換器)采樣速率、工作帶寬和通用DSP器件處理速度的限制,數(shù)字中頻軟件無(wú)線電正成為理想軟件無(wú)線電的一種經(jīng)濟(jì)、適用的折中選擇。在目前大多數(shù)軟件無(wú)線電接收機(jī)中,一般先經(jīng)模擬下變頻至適當(dāng)中頻,然后在中頻用ADC數(shù)字化后輸出高速數(shù)字中頻信號(hào),再經(jīng)數(shù)字下變頻器(DDC)的變頻、抽取和低通濾波處理之后變?yōu)榈退俚幕鶐盘?hào),

19、最后將基帶信號(hào)送給通用DSP器件作后續(xù)的解調(diào)、解碼、抗干擾、抗衰落、自適應(yīng)均衡等處理。這樣大大降低了對(duì)ADC和DSP器件性能的要求,便于實(shí)現(xiàn)和降低成本。數(shù)字上變頻(DUC)與下變頻是相對(duì)應(yīng)的過(guò)程,DSP處理后的基帶數(shù)字信號(hào)經(jīng)過(guò)內(nèi)插、濾波和上變頻后,將信號(hào)傳給DAC來(lái)完成后續(xù)的模擬處理環(huán)節(jié)。數(shù)字上下變頻器在這里起到ADC/DAC和通用DSP器件之間的橋梁作用,如圖1.1所示圖1.1 數(shù)字上下變頻器在數(shù)字中頻軟件無(wú)線電中的地位因此,數(shù)字上下變頻技術(shù)已經(jīng)成為軟件無(wú)線電接收機(jī)的核心技術(shù)之一,通用數(shù)字上下變頻器也被越來(lái)越廣泛的應(yīng)用到各種軍、民用無(wú)線通信設(shè)備以及電子戰(zhàn)、雷達(dá)和信息化家電等領(lǐng)域。1.2.2

20、FPGA在數(shù)字上下變頻領(lǐng)域的應(yīng)用 現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)是在專業(yè)ASIC的價(jià)格和低可編程性與DSP的完全可編程性和每項(xiàng)功能的高功耗之間的折衷方案9。FPGA是高速可配置的邏輯電路,其物理和邏輯的布局布線是專門(mén)為狀態(tài)機(jī)和順序邏輯快速實(shí)現(xiàn)而設(shè)計(jì)的,近年來(lái),F(xiàn)PGA器件在工藝方面的進(jìn)步和設(shè)計(jì)思想上的創(chuàng)新為之帶來(lái)了前所未有的邏輯規(guī)模和強(qiáng)大的處理性能,時(shí)鐘速度等性能已經(jīng)有了很大的提高,單片的集成度已經(jīng)發(fā)展到了幾百萬(wàn)門(mén)以上,可用于復(fù)雜的數(shù)字信號(hào)處理,比如卷積、相關(guān)和濾波等。FPGA的可編程性、靈活性和高集成性,在無(wú)線研究領(lǐng)域中已經(jīng)得到了成功的應(yīng)用。在經(jīng)過(guò)FPGA充分驗(yàn)證的基礎(chǔ)上,還可以將FPGA設(shè)計(jì)

21、轉(zhuǎn)為ASIC,降低芯片成本,使其市場(chǎng)化。Altera公司最新推出的HardCopylI技術(shù)10,可以在lO12周內(nèi)將一個(gè)成熟的FPGA設(shè)計(jì)轉(zhuǎn)成量產(chǎn)的ASIC,并且保證ASIC的功能和時(shí)序與FPGA芯片完全一致,pin to pin的管腳兼容,大大減少了設(shè)計(jì)者驗(yàn)證和仿真的工作量,免除使用者重新Layout PCB的麻煩,其100%的流片成功率大大減少了從設(shè)計(jì)到產(chǎn)品的移植周期??梢?jiàn),利用FPGA來(lái)實(shí)現(xiàn)高速專用數(shù)字上下變頻器具有一定的實(shí)際意義。1.3本論文的內(nèi)容安排 本文內(nèi)容主要分為以下幾個(gè)部分: 第一章緒論,簡(jiǎn)單介紹了軟件無(wú)線電及數(shù)字上下變頻技術(shù)的概況,并說(shuō)明了論文的課題背景和主要內(nèi)容。 第二章介

22、紹了數(shù)字上下變頻技術(shù)的理論基礎(chǔ),主要包括數(shù)字上下變頻的基原理、相關(guān)的算法概述。 第三章依據(jù)第二章的基本原理和相關(guān)算法,設(shè)計(jì)實(shí)現(xiàn)了基于FPGA的上下變頻的各功能部分。第四章給出了數(shù)字下變頻相關(guān)部分的FPGA仿真結(jié)果,分析并驗(yàn)證了設(shè)計(jì)的可行性。第五章對(duì)本論文的工作做了總結(jié),并指出了需要完善的部分。第二章 數(shù)字上下變頻技術(shù)理論基礎(chǔ)2.1 數(shù)字上下變頻理論概述2.1.1 數(shù)字混頻正交變換理論任何物理可實(shí)現(xiàn)的信號(hào)都是實(shí)信號(hào),實(shí)信號(hào)的頻譜具有共軛對(duì)稱性,即正負(fù)頻率幅度分量是對(duì)稱的,而其相位分量正好相反。所以對(duì)于一個(gè)實(shí)信號(hào)而言,只需其正頻部分或負(fù)頻部分就能夠完全加以描述,不會(huì)丟失任何信息,也不會(huì)產(chǎn)生虛假信號(hào)

23、。如只取原實(shí)信號(hào)的正頻部分(由于只含有正頻分量,故為復(fù)信號(hào)),那么就把叫做的解析表示,即: (2-1) 其中叫做信號(hào)的Hilbert變換11。即 (2-2) 由于Hilbert變換是正交變換,所以解析信號(hào)的實(shí)部和虛部是正交的。一個(gè)實(shí)信號(hào)的解析表示(正交分解)在信號(hào)處理中有著極其重要的作用,是軟件無(wú)線電的基礎(chǔ)理論之一,從解析信號(hào)中很容易獲得信號(hào)的三個(gè)特征參數(shù):瞬時(shí)幅度、瞬時(shí)相位和瞬時(shí)頻率,而這三個(gè)特征參數(shù)是信號(hào)分析、參數(shù)測(cè)量或識(shí)別解調(diào)的基礎(chǔ)。 對(duì)于一個(gè)實(shí)的窄帶信號(hào): (2-3)式中、分別為信號(hào)的幅度調(diào)制分量和相位調(diào)制分量,為信號(hào)的載頻。以證明的Hilbert變換為: (2-4)所以窄帶信號(hào)的解析

24、表示為: (2-5) 用極坐標(biāo)形式可以表示為: (2-6) 式中,稱為信號(hào)的載頻分量,它作為信息載體不含有用信息。將上式乘以,載頻下移,得到基帶信號(hào)(或稱為零中頻信號(hào)),記為,有: (2-7)其中, (2-8) (2-9)分別稱為基帶信號(hào)的同相分量和正交分量?;鶐盘?hào)為解析信號(hào)的復(fù)包絡(luò),是復(fù)信號(hào),即基帶信號(hào)既有正頻分量,也有負(fù)頻分量,但其頻譜不具有共軛對(duì)稱性,若隨意剔除基帶信號(hào)的負(fù)頻分量,就會(huì)造成信息丟失。從以上分析可以看出,一個(gè)實(shí)的窄帶信號(hào)既可用解析信號(hào)表示,也可用其基帶信號(hào)(零中頻信號(hào))來(lái)表示。但是,在實(shí)際中很難實(shí)現(xiàn)理想的Hilbert變換的階躍濾波器,所以準(zhǔn)確的解析表示要在實(shí)際應(yīng)用中得到

25、是非常困難的,相比之下,得到基帶信號(hào)就要容易得多,即將原信號(hào)分別與兩個(gè)本振信號(hào)和相乘,再經(jīng)過(guò)低通濾波器就得到了對(duì)應(yīng)的正交基帶變換信號(hào),但由于模擬方法產(chǎn)生本振信號(hào)的缺點(diǎn)是存在正交誤差,從而導(dǎo)致虛假信號(hào)的產(chǎn)生。如今,在數(shù)字信號(hào)處理中,更多的采用數(shù)字混頻正交變換來(lái)進(jìn)行數(shù)字信號(hào)的正交基帶變換,其兩個(gè)本振信號(hào)正交性可以完全的保證,其基本功能框圖如圖2.1所示。 圖2.1 實(shí)信號(hào)的正交基帶變換(下變頻)將模擬信號(hào)經(jīng)過(guò)模數(shù)轉(zhuǎn)換(A/D)后得到數(shù)字信號(hào),將該分別與兩個(gè)正交本振序列和相乘后,再通過(guò)數(shù)字低通濾波器即可得到的同相分量和正交分量。2.1.2 數(shù)字上下變頻原理上下變頻是指將信號(hào)的頻譜搬移到更高或更低的頻

26、率上,若待變頻信號(hào)為,變頻信號(hào)用公式表示為: (2-10)其中為搬移的頻率,將基帶信號(hào)搬到該頻率上稱為上變頻(負(fù)),而從該頻率上搬移到基帶稱為下變頻(正)。數(shù)字上變頻和數(shù)字下變頻就是對(duì)式(2-10)進(jìn)行數(shù)字化。引入滿足采樣周期T,數(shù)字上變頻和數(shù)字下變頻就可以寫(xiě)為: (2-11)簡(jiǎn)寫(xiě)為: (2-12)實(shí)際當(dāng)中,對(duì)于下變頻來(lái)說(shuō),一般為實(shí)信號(hào),就有下式: (2-13) 經(jīng)過(guò)低通濾波后得到的就是基帶信號(hào)的正交分解信號(hào),為同相分量,為正交分量,由此可見(jiàn)上一節(jié)中提到的實(shí)信號(hào)的正交基帶變換也可以說(shuō)完成的就是下變頻功能。圖2.1也就是數(shù)字下變頻的基本原理框圖。 對(duì)于上變頻來(lái)說(shuō),基帶信號(hào)一般為復(fù)信號(hào),表示為:通

27、常上變頻后的信號(hào)只需要取其實(shí)數(shù)部分就足夠了,即: (2-14)由式(2-14)可得上變頻的原理框圖如圖2.2, 圖2.2 數(shù)字上變頻的原理框圖2.1.3 影響數(shù)字上下變頻器性能的主要因素 從數(shù)字上下變頻原理可以看出,上變頻其實(shí)是下變頻的一個(gè)反過(guò)程,在此我以下變頻為例來(lái)探討一下影響數(shù)字變頻器性能的主要因素。 模擬下變頻器中,模擬混頻器的非線性和模擬本地振蕩器的頻率穩(wěn)定度、邊帶、相位噪聲、溫度漂移、轉(zhuǎn)換速率等都是人們最關(guān)心和難以徹底解決的問(wèn)題。這些問(wèn)題在數(shù)字下變頻中是不存在的,頻率步進(jìn)、頻率間隔等也具有理想的性能,另外,數(shù)字下變頻器的控制和配置更新方便等特點(diǎn)也是模擬下變頻器無(wú)法比擬的。但與模擬下變

28、頻相比,數(shù)字下變頻器的運(yùn)算速度受硬件電路處理能力的限制,其運(yùn)算速度決定了DDC的最高輸入信號(hào)數(shù)據(jù)率,相應(yīng)的也限定了ADC的最高采樣速率。另外,數(shù)字下變頻的輸入、輸出數(shù)據(jù)精度和內(nèi)部運(yùn)算精度也影響著接收機(jī)的性能。影響數(shù)字下變頻器件整體性能指標(biāo)的主要因素12有五個(gè):一是數(shù)控本振所產(chǎn)生的正交本振信號(hào)的頻譜純度;二是數(shù)字混頻器的運(yùn)算精度;三是各種濾波器的運(yùn)算精度(包括二進(jìn)制表示的濾波器系數(shù)的精度);四是濾波器的階數(shù):五是數(shù)下變頻器的系統(tǒng)處理速度。前三點(diǎn)因素其本質(zhì)可以歸到一點(diǎn),就是有限字長(zhǎng)效應(yīng),由于有限字長(zhǎng),帶來(lái)了數(shù)控本振的相位截?cái)嘈?yīng),也帶來(lái)了整個(gè)DDC器件所有模塊的樣本值近似效應(yīng),根據(jù)截?cái)嗪徒频某潭?/p>

29、,DDC性能會(huì)受到或多或少的影響。要提高DDC的性能,就要加寬運(yùn)算字長(zhǎng),但字長(zhǎng)不可能無(wú)限加寬,這就需要在DDC性能和硬件資源開(kāi)銷之間作一個(gè)折中。至于濾波器的階數(shù),同樣涉及到的是硬件資源消耗的問(wèn)題。在處理速度這個(gè)問(wèn)題上,可以通過(guò)利用規(guī)模換速度和采用優(yōu)化算法兩種手段提高系統(tǒng)處理速度;總的說(shuō)來(lái),性能的提高是以資源的消耗為代價(jià)的。 2.2 多速率數(shù)字信號(hào)處理 2.1.1 整數(shù)倍抽取和內(nèi)插 所謂整數(shù)倍抽取14是把原始采樣序列每隔D-1個(gè)數(shù)據(jù)抽取一個(gè)數(shù)據(jù),以形成一個(gè)新的序列: (2-15)式中D為正整數(shù)。很顯然如果序列的采樣速率為,則其無(wú)模糊帶寬為/2,經(jīng)過(guò)D倍抽取得到的抽取序列的采樣速率為/D,其無(wú)模糊

30、帶寬為/(2D),當(dāng)含有大于/(2D)的頻率分量時(shí),就必然產(chǎn)生頻譜混疊,導(dǎo)致從中無(wú)法恢復(fù)出中小于/(2D)的頻率分量信號(hào)。設(shè)的離散傅氏變換為,那么的離散傅氏變換為: (2-16)由式(2-16)可見(jiàn),抽取序列的頻譜為抽取前原始序列頻譜經(jīng)頻移和D倍展寬后的D個(gè)頻譜疊加和。圖2.3給出了抽取前后的頻譜結(jié)構(gòu)變化圖。 圖2.3 抽取前后(D=2)的頻譜結(jié)構(gòu)(混疊)由圖2.3可見(jiàn),抽取后的頻譜產(chǎn)生了嚴(yán)重混疊,使得從中無(wú)法恢復(fù)出中所感興趣的信號(hào)的頻譜分量。但如果首先用一數(shù)字濾波器(濾波器帶寬為/D)對(duì)進(jìn)行濾波,使中只含有小于/D的頻率分量(對(duì)應(yīng)模擬頻率為),再進(jìn)行D倍抽取,則抽取后的頻譜就不會(huì)發(fā)生混疊,如

31、圖2.4所示,圖2.4 抽取前后(D=2)的頻譜結(jié)構(gòu)(無(wú)混疊)這樣就可以準(zhǔn)確地表示中小于/D的頻率分量信號(hào),所以這時(shí)對(duì)進(jìn)行處理等同于對(duì)的處理,但前者的數(shù)據(jù)速率只有后者的D分之一,大大降低了對(duì)后續(xù)處理(解調(diào)分析等)速度的要求。由上述分析可以得出一個(gè)完整的D倍抽取器結(jié)構(gòu)如圖2.5所示,圖中為其帶寬小于/D的低通濾波器,當(dāng)原始信號(hào)的頻譜分量本身就小于/D時(shí),則前置低通濾波器可以省去。圖2.5 完整的D倍抽取器結(jié)構(gòu)框圖內(nèi)插是抽取的逆過(guò)程,所謂整數(shù)倍內(nèi)插就是指在兩個(gè)原始抽樣點(diǎn)之間插入(I-1)個(gè)零值,設(shè)原始抽樣序列為,則內(nèi)插后的序?yàn)椋?(2-17)設(shè)的離散傅氏變換為,則的離散傅氏變換為: (2-18)

32、由式(2-18)可見(jiàn),內(nèi)插后的信號(hào)頻譜為原始序列頻譜經(jīng)I倍壓縮后得到的譜,圖2.6給出了內(nèi)插前后的頻譜結(jié)構(gòu),由圖中可見(jiàn),在內(nèi)插后的中不僅含有的基帶分量,還含有其頻率大于的高頻成分,為了從中恢復(fù)原始譜,則必須對(duì)內(nèi)插后的信號(hào)進(jìn)行低通濾波(濾波器帶寬為)。因此,原來(lái)插入的零值點(diǎn)變?yōu)榈臏?zhǔn)確內(nèi)插值,經(jīng)過(guò)內(nèi)插大大提高了時(shí)域分辨率15。圖2.6 內(nèi)插(I=2)前后的頻譜結(jié)構(gòu) 由上述分析得出一個(gè)完整的I倍內(nèi)插器的結(jié)構(gòu)如圖2.7所示,圖中為帶寬小于的低通濾波器。圖2.7 完整的I倍內(nèi)插方框圖2.3 高效數(shù)字濾波 從前面的討論已經(jīng)知道,實(shí)現(xiàn)取樣率變換的關(guān)鍵問(wèn)題是如何實(shí)現(xiàn)抽取前或內(nèi)插后的數(shù)字濾波,該濾波器性能的好壞

33、將直接影響取樣率變換的效果及其實(shí)時(shí)處理能力,本節(jié)將討論多速率信號(hào)處理中的高效數(shù)字濾波問(wèn)題。2.3.1 積分梳狀濾波器基本理論 級(jí)聯(lián)積分梳狀濾波器19(CIC,cascaded integrator-comb)是一種線性相位FIR濾波器,這種濾波器由工作在高抽樣率的級(jí)聯(lián)理想積分器和低抽樣率的梳狀濾波器組成。該濾波器的沖激響應(yīng)具有以下形式: (2-19)式中,D即為CIC濾波器的階數(shù)(D其實(shí)也是抽取因子)。CIC濾波器的z變換為: (2-20)式中, (2-21) (2-22)因此CIC濾波器的原理方框圖如圖2.8所示,圖2.8 CIC實(shí)現(xiàn)方框圖由圖2.8可見(jiàn),CIC濾波器由兩部分組成,是一個(gè)積分

34、器,實(shí)現(xiàn)起來(lái)就是一個(gè)累加器:是一個(gè)梳狀濾波器20。把z=分別代入式(2-21)和(2-22),可得和的頻率響應(yīng)為: (2-23) (2-24)由于的幅頻特性形狀象一把梳子,因此稱為梳狀濾波器。CIC濾波器就是積分器和梳狀濾波器的級(jí)聯(lián),所以也稱為級(jí)聯(lián)積分梳狀濾波器。 由和可得為: (2-25)CIC濾波器的主瓣幅值最大點(diǎn)為,隨著頻率的增大,旁瓣電平不斷減小,可以計(jì)算出第一旁瓣電平與主瓣電平的差值約為,可見(jiàn)CIC濾波器的旁瓣電平還是比較大的,這也意味著阻帶衰減很差,為了能夠滿足實(shí)用要求,可以采用多級(jí)CIC濾波器級(jí)聯(lián)的辦法來(lái)解決,例如用Q級(jí)CIC實(shí)現(xiàn)時(shí)的旁瓣抑制為:,當(dāng)Q=5時(shí),基本能夠滿足實(shí)際的需

35、要22。由于CIC濾波器的實(shí)現(xiàn)非常簡(jiǎn)單,只有加減運(yùn)算,沒(méi)有乘法運(yùn)算,F(xiàn)PGA實(shí)現(xiàn)時(shí)可達(dá)到很高的處理速率,因此CIC濾波器很適合作抽取器前的抗混疊濾波器,通過(guò)CIC濾波并抽取后把高的數(shù)據(jù)率降到較低的數(shù)據(jù)率,易于后級(jí)的HB抽取和FIR濾波。 2.3.2 半帶濾波器的基本理論 半帶濾波器(Half-Band Filter)在多速率信號(hào)處理中有著特別重要的位置23,因?yàn)檫@種濾波器特別適合實(shí)現(xiàn)D=2M(即2的冪次方倍)的抽取或內(nèi)插,而且計(jì)算效率高,實(shí)時(shí)性強(qiáng)。所謂半帶濾波器是指頻率響應(yīng)滿足以下關(guān)系的FIR濾波器: (2-26)或者說(shuō)半帶濾波器的阻帶寬度與通帶寬度是相等的,且通帶紋波和阻帶紋波也相等,如圖2

36、.9所示。圖2.9 半帶濾波器圖中和分別是濾波器的通帶上限和阻帶下限頻率,其中。半帶濾波器具有以下性質(zhì):(1),且通帶波紋合阻帶波紋是相等的,即,這樣就有近一半的h(n)為0,在實(shí)際應(yīng)用中減少了一半的運(yùn)算量。 (2)在半帶濾波器進(jìn)行2倍抽取后,雖然過(guò)渡帶內(nèi)有混疊,但通帶內(nèi)是沒(méi)有混疊的,也就是說(shuō)信號(hào)是可以恢復(fù)的。(3)半帶濾波器的偶數(shù)序列號(hào)(不包括0)的沖擊響應(yīng)的值為0,即: (2-27) 根據(jù)以上性質(zhì),就通帶信號(hào)而言,完全可以采用半帶濾波器來(lái)進(jìn)行2倍抽取,只要根據(jù)抽取速率和信號(hào)帶寬嚴(yán)格的設(shè)計(jì)和就可以了。2.4 數(shù)控振蕩器NCO中采用的CORDIC算法 數(shù)控振蕩器(NCO,Numerically

37、 Controlled Oscillator),亦稱直接數(shù)字(頻率)合成器(DDS,Direct Digital Synthesizer)23。用來(lái)產(chǎn)生一對(duì)相互正交的正弦和余弦載波信號(hào),與插值以后的基帶信號(hào)混頻,完成頻譜上搬。本文研究基于CORDIC算法24的流水線型數(shù)控振蕩器。該方法較之傳統(tǒng)的查表法有運(yùn)算精度高速度快、結(jié)構(gòu)簡(jiǎn)單、耗費(fèi)資源少等優(yōu)勢(shì)。CORDIC算法是一種基于向量旋轉(zhuǎn)的數(shù)值計(jì)算方法。該算法僅僅通過(guò)迭代操作來(lái)逼近三角函數(shù)及其他一些函數(shù),用該算法來(lái)代替查找表產(chǎn)生正余弦函數(shù)樣值將會(huì)大大的節(jié)省硬件資源。算法原理如下; 對(duì)于圖2.10所示的矢量旋轉(zhuǎn),圖2.10 矢量旋轉(zhuǎn)示意圖設(shè)起點(diǎn)坐標(biāo)為,

38、終點(diǎn)坐標(biāo)為,由三角函數(shù)理論知道: (2-28)對(duì)于第i次旋轉(zhuǎn),令,則式(2-23)可改寫(xiě)為: (2-29)其中1/為幅度畸變因子,最 (當(dāng)逆時(shí)針旋轉(zhuǎn)時(shí)為+1,順時(shí)針旋轉(zhuǎn)時(shí)為-1)。因?yàn)?,所以我們假設(shè)從x正軸開(kāi)始旋轉(zhuǎn),通過(guò)足夠多的迭代次數(shù)后,就可以實(shí)現(xiàn)-/2/2內(nèi)的任意角度旋轉(zhuǎn)。每次旋轉(zhuǎn)后的實(shí)際矢量與目標(biāo)矢量之間的的誤差角度如式(2-30), (2-30) 其中為目標(biāo)矢量角度,若0,則i=+1,若0) then x(i1)=x(i)-SRL(y(i), i); y(i1)=y(i)+ (SRL(x(i), i); theta (i1)= theta (i)-i ; else x(i1)=x(i)

39、+( SRL (y(i), i); y(i1)=y(i)-( SRL (x(i), i); theta (i1)= theta (i)+i end if;其中,SRL (m, k)實(shí)現(xiàn)數(shù)據(jù)m右移k位,并返回值為移位后的結(jié)果。按照?qǐng)D3.2編寫(xiě)可產(chǎn)生正余弦信號(hào)的CORDIC運(yùn)算程序,如下:module cordic(clk, phi, cos, sin);parameter W = 13, W_Z = 14;input clk;input W_Z-1:0 phi;output W-1:0 cos, sin;reg W-1:0 cos, sin;reg W-1:0 x8:0, y8:0;reg W_

40、Z-1:0 z7:0;always(posedge clk)beginx0 = 13h4D; /修正CORDIC算法的比例因子,K的倒數(shù)y0 = 13h00; z0 = phi;/旋轉(zhuǎn)45度if(z0W_Z-1) begin x1 = x0 + y0; y1 = y0 - x0; z1 = z0 + 14h65;endelse begin x1 = x0 - y0; y1 = y0 + x0; z1 = z0 - 14h65;end仿真結(jié)果及結(jié)論會(huì)在第四章給出。3.1.2 CIC抽取濾波器的設(shè)計(jì)CIC濾波器的級(jí)聯(lián)數(shù)是有限的,不能太大。因?yàn)槎嗉?jí)級(jí)聯(lián)的結(jié)構(gòu)雖然能增大阻帶衰減,減少混疊影響,但是會(huì)增

41、大帶內(nèi)容差。因此設(shè)計(jì)抽取濾波器時(shí),采用5級(jí)CIC級(jí)聯(lián)的抽取結(jié)構(gòu)圖如圖3.3所示。圖3.3 五級(jí)CIC抽取器結(jié)構(gòu)圖在具體設(shè)計(jì)時(shí),將CIC濾波器分為積分器、抽取器、梳妝器3個(gè)部分分別進(jìn)行設(shè)計(jì)。在積分器部分,第一級(jí)積分器的輸入數(shù)據(jù)在系統(tǒng)時(shí)鐘的控制下,先進(jìn)入一個(gè)寄存器,然后再與下一個(gè)時(shí)鐘脈沖時(shí)輸入的數(shù)據(jù)相加得到的結(jié)果作為下一級(jí)積分器的輸入數(shù)據(jù),每一級(jí)的積分器的具體實(shí)現(xiàn)結(jié)構(gòu)如下圖3.4所示。 圖3.4 單級(jí)積分器的FPGA實(shí)現(xiàn)框圖對(duì)于5級(jí)的CIC濾波器來(lái)說(shuō),存在一個(gè)處理增益,而且隨著技術(shù)和抽取因子D的加大,處理增益也以指數(shù)級(jí)增長(zhǎng),所以,在用FPGA來(lái)實(shí)現(xiàn)時(shí)。必須要考慮到這個(gè)問(wèn)題,因此在抽取器的設(shè)計(jì)時(shí),每

42、一級(jí)都必須保留足夠的運(yùn)算精度,否則就有可能引起溢出錯(cuò)誤或運(yùn)算精度的降低。在CIC抽取濾波器中,最大寄存器動(dòng)態(tài)增長(zhǎng)可簡(jiǎn)化為 (3-1)設(shè)輸入位數(shù),則寄存器位數(shù)用在濾波器輸出端最高有效位來(lái)計(jì)算,即: (3-2)這里輸入寄存器的最低有效位為0,是不小于x的最小整數(shù)。在第一級(jí)積分器中,當(dāng)輸入數(shù)據(jù)為16位時(shí),抽取倍數(shù)為32時(shí),M=2時(shí),為了保證不溢出,需要的寄存器寬度為41位,所以CIC抽取濾波器每一級(jí)需要的寄存器寬度都為41位。但是這樣設(shè)計(jì),在具體實(shí)現(xiàn)時(shí)勢(shì)必會(huì)導(dǎo)致硬件資源的浪費(fèi)。根據(jù)Hogenaur提到的“剪除”理論19,在CIC抽取濾波器中,可剪除中間級(jí)的一些最低有效位,來(lái)減小每一級(jí)濾波器的最小寄存器寬度。根據(jù)此理論,因剪除而引起的噪聲總均值和方差為 (3-3) (3-4) (3-5)假設(shè)在輸入端寄存器保留的比特位為,輸出寄存器丟掉的最低有效位是 (3-6)由于均值只是在第一級(jí)和最后一級(jí)的噪聲源受到剪除的影響,而方差受到所有噪聲源的剪除操作影響,通常只用方差作為設(shè)計(jì)參數(shù)。設(shè)計(jì)準(zhǔn)則是使得前2N個(gè)噪聲源的方差小于或者等于最后一個(gè)噪聲源的方差,并且將前2N個(gè)誤差等值的分布在這些噪聲源上,所以可以得到在第J級(jí)應(yīng)該剪除的最低有效位的表達(dá)式為: (3-7) 其中被稱為第j級(jí)誤差源的噪聲方差增益,是在輸出端通過(guò)剪除以后所引起的量化噪聲,按公式(3-1)進(jìn)行計(jì)算,當(dāng)輸入數(shù)據(jù)位16

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論