畢業(yè)設計(論文)基于AT89C51單片機電子密碼鎖設計_第1頁
畢業(yè)設計(論文)基于AT89C51單片機電子密碼鎖設計_第2頁
畢業(yè)設計(論文)基于AT89C51單片機電子密碼鎖設計_第3頁
畢業(yè)設計(論文)基于AT89C51單片機電子密碼鎖設計_第4頁
畢業(yè)設計(論文)基于AT89C51單片機電子密碼鎖設計_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、 畢業(yè)設計論文 電子密碼鎖設計 系 電子信息工程系 專業(yè) 應用電子技術 姓名 班級 電子 081 學號 _ 指導教師 職稱 講師 設計時間 2010.11.222011.1.8 目錄目錄 摘要摘要3 3 第一章第一章 引言引言 4 4 第二章第二章 方案比較與論證方案比較與論證 5 5 第三章第三章 系統(tǒng)模塊電路的設計系統(tǒng)模塊電路的設計 7 7 31 系統(tǒng)總框圖7 32 系統(tǒng)的模塊電路設計7 321 單片機最小系統(tǒng)模塊7 322 時鐘電路模塊8 323 鍵盤模塊11 324 顯示模塊13 32.5 電源模塊14 3.2.6 溫度檢測電路設計17 3.2.7 開鎖電路與報警電路設計19 第四章第

2、四章 系統(tǒng)的軟件設計系統(tǒng)的軟件設計2020 41 軟件設計思路20 4. 2 系統(tǒng)主流程圖 20 第五章第五章 總結總結2323 第六章第六章 致謝致謝2525 參考文獻參考文獻 2626 附錄附錄 2727 摘要摘要 本次設計使用 at89c51 實現(xiàn)一基于單片機的電子密碼鎖。本系統(tǒng)由單片機 系統(tǒng)、矩陣鍵盤、led 顯示和報警系統(tǒng)組成。系統(tǒng)能完成開鎖、超次鎖定、修 改用戶密碼基本的密碼鎖的功能。除上述基本的密碼鎖功能外,還具有溫度顯 示、時間顯示等功能,依據(jù)實際的情況還可以添加遙控功能。本系統(tǒng)成本低廉, 功能實用。 關鍵詞關鍵詞: : 單片機 at89c51; led 顯示;矩陣鍵盤;自動報

3、警 第一章第一章 引言引言 目前,最常用的鎖是 20 世紀 50 年代意大利人設計的機械鎖,其機構簡單、 使用方便、價格便宜。但在使用中暴露了很多缺點:一是機械鎖是靠金屬制成 的鑰匙上的不同齒形與鎖芯的配合來工作的。據(jù)統(tǒng)計,每 4000 把鎖中就有兩把 鎖的鑰匙齒牙相同或類似,故安全性低。二是鑰匙一旦丟失,無論誰撿到都可 以將鎖打開。三是機械鎖的材料大多為黃銅,質地較軟,容易損壞。四是機械 鎖鑰匙易于復制,不適于諸如賓館等公共場所使用。由于人們對鎖的安全性, 方便性等性能有更高的要求,許多智能鎖也相繼問世,但這類產品的特點是針 對特定指紋或有效卡,但能適用于保密要求高且僅供個別人使用的箱、柜、

4、房 間,其成本一般較高,在一定程度上限制了這類產品的普及和推廣。 隨著人們生活水平的提高,電子密碼防盜鎖作為防盜衛(wèi)士的作用日趨重要。 電子密碼防盜鎖用密碼代替鑰匙,不但省去了佩戴鑰匙的煩惱,也從根本上解 決了普通門鎖保密性差的缺點。隨著人們生活水平的提高,如何實現(xiàn)家庭防盜 這一問題也變的尤其的突出,傳統(tǒng)的機械鎖由于其構造的簡單,被撬的事件屢 見不鮮,機械鎖的這些弊端為一種新型的鎖-電子密碼鎖,提供了發(fā)展的空間。 隨著人們對安全的重視和科技的發(fā)展,許多電子智能鎖已在國內外相繼面 世。但是這些產品的特點是針對特定的指紋和有效卡,只能適用于保密要求的 箱、柜、門等。而且指紋識識別器若在公共場所使用存

5、在容易機械損壞,ic 卡 還存在容易丟失、損壞等特點。加上其成本較高,一定程度上限制了這類產品 的普及和推廣。電子鎖由于其保密性高,使用靈活性好,安全系數(shù)高,受到了 廣大用戶的歡迎。鑒于目前的技術水平與市場的接收程度,電子密碼鎖是這類 電子防盜產品的主流。 第二章第二章 方案比較與論證方案比較與論證 方案一:方案一:采用數(shù)字電路控制。其原理方框圖如圖 1 所示。 圖 1 數(shù)字密碼鎖電路方案 采用數(shù)字密碼鎖電路的好處就是設計簡單。用以 74ls112 雙 jk 觸發(fā)器構 成的數(shù)字邏輯電路作為密碼鎖的核心控制,共設了 9 個用戶輸入鍵,其中只有 6 個是有效的密碼按鍵,其它的都是干擾按鍵,若按下干

6、擾鍵,鍵盤輸入電路 自動清零,原先輸入的密碼無效,需要重新輸入;若電路連續(xù)報警三次,電路 將鎖定鍵盤 10 秒,防止他人的非法操作。 電路由兩大部分組成:密碼鎖電路和備用電源(ups),其中設置 ups 電源 是為了防止因為停電造成的密碼鎖電路失效,使用戶免遭麻煩。 密碼鎖電路包含:鍵盤輸入、密碼修改、密碼檢測、開鎖電路、執(zhí)行電路、 報警電路、鍵盤輸入次數(shù)鎖定電路。 方案二:以 at89c51 為核心的單片機控制方案。利用單片機靈活的編程設 計和豐富的 io 端口,及其控制的準確性,不但能實現(xiàn)基本的密碼鎖功能,還能 添加溫度顯示、時間顯示甚至添加遙控控制功能。 通過比較以上兩種方案,單片機方案

7、有較大的活動空間,不但能實現(xiàn)所要 求的功能而且能在很大的程度上擴展功能,而且還可以方便的對系統(tǒng)進行升級, 所以我們采用后一種方案。 第三章第三章 系統(tǒng)模塊電路的設計系統(tǒng)模塊電路的設計 3 31 1 系統(tǒng)總框圖系統(tǒng)總框圖 圖 2 系統(tǒng)框圖 3 32 2 系統(tǒng)的模塊電路系統(tǒng)的模塊電路設計設計 3 32 21 1 單片機最小系統(tǒng)模塊單片機最小系統(tǒng)模塊 單 片 機 密碼輸入電路 密碼檢測電路 顯示電路 溫度傳感器 開鎖電路 時鐘電路 數(shù)據(jù)鎖存 電路 報警電路 at89c51 是一種低功耗,高性能 cmos8 位微控制器,具有 8k 在系統(tǒng)可 編程 flash 存儲器。使用 atmel 公司高密度非易失

8、性存儲器技術制造,與工業(yè) 80c51 產品指令和引腳完全兼容。片上 flash 允許程序存儲器在系統(tǒng)可編程,亦 適于常規(guī)編程器。在單芯片上,擁有靈巧的 8 位 cpu 和系統(tǒng)可編程 flash,使得 at89sc51 為眾多嵌入式控制應用系統(tǒng)提供高靈活,超有效地解決方案。 at89c51 是一種帶 2k 字節(jié)閃爍可編程可擦除只讀存儲器的單片機。單片機的 可擦除只讀存儲器可以反復擦除 100 次。該器件采用 atmel 高密度非易失存 儲器制造技術制造,與工業(yè)標準的 mcs-51 指令集和輸出管腳相兼容。由于將 多功能 8 位 cpu 和閃爍存儲器組合在單個芯片中,atmel 的 at89c51

9、 是一 種高效微控制器, at89c51 單片機為很多嵌入式控制系統(tǒng)提供了一種靈活性 高且價廉的方案。 圖 3 at89c51 管腳圖 322 時鐘電路模塊 采用專用時鐘芯片 ds1302,該芯片性能簡介如下: ds1302 的結構及工作原理 : ds1302 是美國 dallas 公司推出的一種高性能、低功耗、帶 ram 的實 時時鐘電路,它可以對年、月、日、周日、時、分、秒進行計時,具有閏年補 償功能,工作電壓為 2.5v5.5v。采用三線接口與 cpu 進行同步通信,并可 采用突發(fā)方式一次傳送多個字節(jié)的時鐘信號或 ram 數(shù)據(jù)。ds1302 內部有一個 318 的用于臨時性存放數(shù)據(jù)的 r

10、am 寄存器。ds1302 是 ds1202 的升級產品, 與 ds1202 兼容,但增加了主電源/后背電源雙電源引腳,同時提供了對后背電 源進行涓細電流充電的能力。 (1) 引腳功能及結構 圖 1 示出 ds1302 的引腳排列,其中 vcc1 為后備電源,vcc2 為主電源。在主電 源關閉的情況下,也能保持時鐘的連續(xù)運行。ds1302 由 vcc1 或 vcc2 兩者中 的較大者供電。當 vcc2 大于 vcc10.2v 時,vcc2 給 ds1302 供電。當 vcc2 小于 vcc1 時,ds1302 由 vcc1 供電。x1 和 x2 是振蕩源,外接 32.768khz 晶 振。rs

11、t 是復位/片選線,通過把 rst 輸入驅動置高電平來啟動所有的數(shù)據(jù)傳 送。rst 輸入有兩種功能:首先,rst 接通控制邏輯,允許地址/命令序列送入 移位寄存器;其次,rst 提供終止單字節(jié)或多字節(jié)數(shù)據(jù)的傳送手段。當 rst 為 高電平時,所有的數(shù)據(jù)傳送被初始化,允許對 ds1302 進行操作。如果在傳送 過程中 rst 置為低電平,則會終止此次數(shù)據(jù)傳送,i/o 引腳變?yōu)楦咦钁B(tài)。上電 運行時,在 vcc2.5v 之前,rst 必須保持低電平。只有在 sclk 為低電平時, 才能將 rst 置為高電平。i/o 為串行數(shù)據(jù)輸入輸出端(雙向),后面有詳細說明。 sclk 始終是輸入端。 圖 4 d

12、s1302 的管腳圖 (2) ds1302 的控制字節(jié) ds1302 的控制字如圖 2 所示。控制字節(jié)的最高有效位(位 7)必須是邏輯 1,如果它為 0,則不能把數(shù)據(jù)寫入 ds1302 中,位 6 如果為 0,則表示存取日 歷時鐘數(shù)據(jù),為 1 表示存取 ram 數(shù)據(jù);位 5 至位 1 指示操作單元的地址;最低有 效位(位 0)如為 0 表示要進行寫操作,為 1 表示進行讀操作,控制字節(jié)總是從最 低位輸出。 圖 5 ds1302 的控制字節(jié) (3) 數(shù)據(jù)輸入輸出(i/o) 在控制指令字輸入后的下一個 sclk 時鐘的上升沿時,數(shù)據(jù)被寫入 ds1302,數(shù)據(jù)輸入從低位即位 0 開始。同樣,在緊跟

13、8 位的控制指令字后的下 一個 sclk 脈沖的下降沿讀出 ds1302 的數(shù)據(jù),讀出數(shù)據(jù)時從低位 0 位到高位 7。 (4) ds1302 的寄存器 ds1302 有 12 個寄存器,其中有 7 個寄存器與日歷、時鐘相關,存放的數(shù) 據(jù)位為 bcd 碼形式,其日歷、時間寄存器及其控制字見表 1。 圖 6 日歷,時間寄存器及其控制字 ds1302 還有年份寄存器、控制寄存器、充電寄存器、時鐘突發(fā)寄存器及與 ram 相關的寄存器等。ds1302 與 ram 相關的寄存器分為兩類:一類是單個 ram 單元,共 31 個,每個單元組態(tài)為一個 8 位的字節(jié),其命令控制字為 c0hfdh,其中奇數(shù)為讀操作

14、,偶數(shù)為寫操作;另一類為突發(fā)方式下的 ram 寄存器,此方式下可一次性讀寫所有的 ram 的 31 個字節(jié),命令控制字為 feh(寫)、ffh(讀)。 (5) ds1302 與單片機連接如圖 7 所示: vc c 3.3 v p3 .7 p3 .6 p3 .1 1n 4007 150 vc c1 i/o sc lk rs t vc c2 x1 x2 gn d ds 1302 圖 7 ds1302 與單片機連接圖 3 32 23 3 鍵盤模塊鍵盤模塊 使用矩陣鍵盤,所以本設計就采用行列式鍵盤,同時也能減少鍵盤與單片 機接口時所占用的 i/o 線的數(shù)目,在按鍵比較多的時候,通常采用這樣的方法。 其

15、原理如圖 8 所示: 圖 8 矩陣鍵盤 每條水平(行線)與垂直線(列線)的交叉處不相通,而是通過一個按鍵來 連通,利用這種行列式矩陣結構只需 n 條行線和 m 條列線,即可組成具有 n*m 個按鍵的鍵盤。 在這種行列式矩陣鍵盤非鍵盤編碼的單片機系統(tǒng)中,鍵盤處理程序首先執(zhí) 行等待按鍵并確認有無按鍵按下的程序段。 當確認有按鍵按下后,下一步就要識別哪一個按鍵按下。對鍵的識別通常 有兩種方法:一種是常用的逐行掃描法;另一種是速度較快的線反轉法。 對照圖 8 所示的 4*4 鍵盤,說明線反轉個工作原理。 首先辨別鍵盤中有無按鍵按下,由單片機 i/o 口向鍵盤送全掃描字,然后 讀入行線狀態(tài)來判斷。方法是

16、:向列線輸出全掃描字 00h,把全部列線置為低 電平,然后將行線的電平狀態(tài)讀入累加器 a 中。如果有按鍵按下,總會有一根 行線電平被拉至低電平從而使行線不全為 1。 判斷哪個鍵被按下:將 p1.0p1.3 都置低,檢測 p1.4p1.7 是否有低的,若 有,則證明有鍵按下,記下低的端口。然后,將 p1.4p1.7 置低,檢測 p1.0p1.3 是否有低的端口,如有,則證明端口與上次的一個為地的端口交叉位 置的鍵被按下。 按鍵鍵名功能說明 09 鍵 數(shù)字鍵輸入密碼 *鍵重設密碼鍵設定新密碼 d 鍵確定鍵比較密碼 c 鍵清除鍵使顯示器清零 b 鍵開啟鍵開啟鍵盤 a 鍵關閉鍵關閉鍵盤 #鍵調整鍵調整

17、時間 3 32 24 4 顯示模顯示模塊塊 電子密碼鎖系統(tǒng)中,需要現(xiàn)實的信息較少,因此采用七段 led 數(shù)碼顯示管 作為顯示器。數(shù)據(jù)傳輸采用串行方式,有單片機串行數(shù)據(jù)口 p3.0 發(fā)送,在經(jīng)過 74hc164 串行移位器把串行數(shù)據(jù)轉換成 8 位并行數(shù)據(jù),用以驅動七段 led 數(shù)碼 顯示管。由于 74hc164 芯片中,沒有數(shù)據(jù)鎖存器,串行數(shù)據(jù)每到達一位,都會 直接送到七段顯示管中,造成所有數(shù)據(jù)都會經(jīng)過其短線是數(shù)碼管的每一個 led 燈,是數(shù)據(jù)顯示不穩(wěn)定。因此在 74hc164 串行移位寄存器和七段數(shù)碼顯示管之 間,加入數(shù)據(jù)鎖存器(74273 芯片) ,以穩(wěn)定數(shù)碼顯示管的數(shù)據(jù)顯示。 在按下開啟按

18、鍵后,顯示器處于開啟狀態(tài),同理只有按下關閉鍵后顯示器 處于關閉狀態(tài),當需要對密碼鎖進行開鎖時,按下鍵盤上的開鎖鍵后利用鍵盤 上的數(shù)字鍵 09 輸入密碼,每按下一個數(shù)字鍵后在顯示器上顯示一個“-”, 輸入六個數(shù)有六個“-”出現(xiàn)。當密碼輸入完成時,按下確認鍵。如果正確的話 led 顯示“111111”,單片機其中 p2.0 出現(xiàn)低電平,使三極管 t2 導通,電磁鐵吸 合,電子密碼鎖被打開,如果密碼錯誤,led 顯示”000000”,單片機 p2.0 輸出 的是高電平,電子密碼鎖不能被打開。在 led 屏上時間出錯時,可通過“#”鍵 修改時間設定。 a 1 b 2 q0 3 q1 4 q2 5 q3

19、 6 q4 10 q5 11 q6 12 q7 13 clk 8 mr 9 ic1 a 1 b 2 q0 3 q1 4 q2 5 q3 6 q4 10 q5 11 q6 12 q7 13 clk 8 mr 9 ic2 a 1 b 2 q0 3 q1 4 q2 5 q3 6 q4 10 q5 11 q6 12 q7 13 clk 8 mr 9 ic3 a 1 b 2 q0 3 q1 4 q2 5 q3 6 q4 10 q5 11 q6 12 q7 13 clk 8 mr 9 ic4 a 1 b 2 q0 3 q1 4 q2 5 q3 6 q4 10 q5 11 q6 12 q7 13 clk 8

20、 mr 9 ic5 a 1 b 2 q0 3 q1 4 q2 5 q3 6 q4 10 q5 11 q6 12 q7 13 clk 8 mr 9 ic6 1 2 header2 1 2 header1 vcc a bf c g d e dpy1 2 3 4 5 6 7 a b c d e f g 8dp dp 9 led0 a bf c g d e dpy1 2 3 4 5 6 7 a b c d e f g 8dp dp 9 led1 a bf c g d e dpy1 2 3 4 5 6 7 a b c d e f g 8dp dp 9 led2 a bf c g d e dpy1 2 3

21、 4 5 6 7 a b c d e f g 8dp dp 9 led3 a bf c g d e dpy1 2 3 4 5 6 7 a b c d e f g 8dp dp 9 led4 a bf c g d e dpy1 2 3 4 5 6 7 a b c d e f g 8dp dp 9 led5 c d1d2d3 圖圖 9 9 顯示電路 圖圖 10 74ls247 的邏輯功能表 圖圖 11 74ls247 控制位循環(huán)顯示 32.5 電源模塊 為了防止停電情況的發(fā)生,本電路后備了 ups 電源,它包括市電供電電路, 停電檢測電路,電子開關切換電路,蓄電池充電電路和蓄電池組成。電源電路 圖

22、如圖 5 所示。 圖圖 12 供電電路 220v 市電通過變壓器降壓成 12v 的交流電,再經(jīng)過整流橋整流,7805 穩(wěn)壓到 5v 送往電子切換電路,由于本電路功耗較少,所以選用 10w 的小型變壓器。 由 r8,r9,r6,r7 及 ic14 構成電壓比較器,正常情況下,v+v- ic14 輸出高 電平,由 t3,t4 構成的達林頓管使繼電器 j 開啟,將其常開觸電將蓄電池和電 路相連,實現(xiàn)市電和蓄電池供電的切換,保證電子密碼鎖的正常工作(視電池 容量而定持續(xù)時間) 。其電路圖如下圖 6 所示: r8 50k r9 40k r7 30k r6 20k t3 9018 r10 5.1k t4

23、9014 1 2 3 ic14 741 5v a1 a2 5v a1 a2 vcc 圖圖 13 停電檢測及電子開關切換電路 t1,t2 構成的蓄電池自動充電電路,它在電池充滿后自動停止充電,其中 d1 亮為正在充電,d2 為工作指示。由 r4,r5,t1 構成電壓檢測電路,蓄電 池電壓低,則 t1,t2 導通,實現(xiàn)對其充電;充滿后,t1,t2 截止,停止充電, 同時 d1 熄滅,電路中 c4 的作用是濾除干擾信號。其電路圖如圖 7 所示: r5 470 r2 3k r1 3k t1 9014d2d1 c4 0.1u 3cg21 r4 3k 6v a26v 圖圖 14 蓄電池自動充電電路 3.2

24、.6 溫度檢測電路設計 采用一線總線式溫度傳感器 ds18b20,該傳感器性能如下: (1) ds18b20 的主要特性: (a)適應電壓范圍更寬,電壓范圍:3.05.5v,在寄生電源方式下可由 數(shù)據(jù)線供電 (b)獨特的單線接口方式,ds18b20 在與微處理器連接時僅需要一條口 線即可實現(xiàn)微處理器與 ds18b20 的雙向通訊 (c)ds18b20 支持多點組網(wǎng)功能,多個 ds18b20 可以并聯(lián)在唯一的三線 上,實現(xiàn)組網(wǎng)多點測溫 (d)ds18b20 在使用中不需要任何外圍元件,全部傳感元件及轉換電路 集成在形如一只三極管的集成電路內 (e)溫范圍55125,在-10+85時精度為0.5

25、(f)可編程的分辨率為 912 位,對應的可分辨溫度分別為 0.5、0.25、 0.125和 0.0625,可實現(xiàn)高精度測溫 (g)在 9 位分辨率時最多在 93.75ms 內把溫度轉換為數(shù)字,12 位分辨率 時最多在 750ms 內把溫度值轉換為數(shù)字,速度更快 (h)測量結果直接輸出數(shù)字溫度信號,以一線總線串行傳送給 cpu,同 時可傳送 crc 校驗碼,具有極強的抗干擾糾錯能力 (i)負壓特性:電源極性接反時,芯片不會因發(fā)熱而燒毀,但不能正常工 作。 (2)ds18b20 與單片機接口電路圖如圖如圖 1515: vcc out gnd ds 18b20 p1.7 vc c 4.7 k 3.

26、2.7 開鎖電路與報警電路設計 開鎖電路開鎖電路 在本次設計中,基于節(jié)省材料的原則,暫時用發(fā)光二極管代替電磁鎖,發(fā) 光管亮,表示開鎖;滅,表示沒有開鎖。電路圖如 3.3 所示。當 p2.0 口輸出低 電平時,二極管發(fā)光,表示開鎖。 圖圖 16 開鎖電路 報警電路報警電路 報警模塊由蜂鳴器和單片機組成。選擇一只壓電式蜂鳴器,壓電式蜂鳴 器工作時需要 100ma 驅動電流。當 89c51 的 p2.1 口輸出低電平時,蜂鳴器產 生蜂音, 89c51 輸出高電平時,蜂鳴器不發(fā)聲。 圖圖 17 報警電路 第四章第四章 系統(tǒng)的軟件設計系統(tǒng)的軟件設計 4 41 1 軟件設計思路軟件設計思路 電子密碼鎖工作

27、的主要過程是 led 數(shù)碼管提示開始輸入密碼,通過鍵盤輸 入密碼,同時 led 顯示密碼輸入狀況,接下來確認密碼的判斷,做出開鎖或報 警處理。當輸入密碼連續(xù)輸入錯誤 3 次時,系統(tǒng)報警。其中還有溫度和時間顯 示。 多功能電子密碼鎖的基本要求: 1、通過鍵盤修改、輸入密碼。 2、連續(xù)三次輸入密碼錯誤后鎖定鍵盤 10 秒,并給出提示。 3、具有日期時間設置(小時和分鐘) 、顯示,環(huán)境溫度顯示功能。 4、220v 供電下,設計系統(tǒng)所需電源,并考慮掉電后原始數(shù)據(jù)的保存。 4.24.2 系統(tǒng)主流程圖系統(tǒng)主流程圖 開始 初始化 有鍵按下? 調用顯示 啟動定時 識別按鍵 按鍵數(shù)=6? 超時? 比較密碼 開門

28、 開始 自動清除 3 次? 報警 n n y y y y n n 按下* 啟動定時 輸入密碼 存入緩沖 再輸入一次 比較密碼 調用 led 調用顯示 按%退出 y 重新輸入 n 圖圖 18 主程序流程圖圖圖 19 修改密碼流程圖 圖圖 21 報警流程圖 第五章 總結 在沒有做畢業(yè)設計前覺得畢業(yè)設計只是對所學知識的單純總結,但是通過 這次做畢業(yè)設計發(fā)現(xiàn)自己的看法有點太片面。畢業(yè)設計不僅是對前面所學知識 的一種檢驗,而且也是對自己能力的一種提高。通過這次畢業(yè)設計使我明白了 自己原來知識還比較欠缺。自己要學習的東西還太多,以前老是覺得自己什么 東西都會,什么東西都懂,有點眼高手低。通過這次課程設計,

29、我才明白學習 是一個長期積累的過程,在以后的工作、生活中都應該不斷的學習,努力提高 自己知識和綜合素質。 在這次畢業(yè)設計中也使我們的同學關系更進一步了,同學之間互相幫助, 有什么不懂的大家在一起商量,聽聽不同的看法對我們更好的理解知識。在多 人做項目的時候,隊員的交流是非常重要的,是很關鍵的部分。這次的畢業(yè)設 計也讓我看到了團隊的力量,我認為我們的工作是一個團隊的工作,團隊需要 個人,個人也離不開團隊,必須發(fā)揚團結協(xié)作的精神。剛開始的時候,大家就 分配好了各自的任務,大家有的繪制原理圖,進行仿真實驗,有的積極查詢相 關資料,并且經(jīng)常聚在一起討論各個方案的可行性。在畢業(yè)設計中只有一個人 知道原理是遠遠不夠的,必須讓每個人都知道,否則一個人的錯誤,就有可能 導致整個工作失敗。團結協(xié)作是我們成功的一項非常重要的保證。 不管學會的還是學不會的的確覺得困難比較多,真是萬事開頭難,不知道 如何入手。最后終于做完了有種如釋重負的感覺。此外,還得出一個結論:知 識必須通過應用才能實現(xiàn)其價值!有些東西以為學會了,但真正到用的時候才 發(fā)現(xiàn)是

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論