《數(shù)字電路》課設(shè)-彩燈循環(huán)控制電路設(shè)計_第1頁
《數(shù)字電路》課設(shè)-彩燈循環(huán)控制電路設(shè)計_第2頁
《數(shù)字電路》課設(shè)-彩燈循環(huán)控制電路設(shè)計_第3頁
《數(shù)字電路》課設(shè)-彩燈循環(huán)控制電路設(shè)計_第4頁
《數(shù)字電路》課設(shè)-彩燈循環(huán)控制電路設(shè)計_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、數(shù)字電子技術(shù)課程設(shè)計報告 題目名稱:彩燈循環(huán)控制電路設(shè)計 姓 名: 程小松 學(xué) 號: 班 級: 15電本6班 指導(dǎo)教師: 張媛 山西工程技術(shù)學(xué)院信息工程與自動化系數(shù)電課程設(shè)計任務(wù)書學(xué)生姓名程小松專業(yè)電氣工程及其自動化指導(dǎo)教師姓名張媛類別學(xué)號班級6班職稱講師本校一、設(shè)計題目:彩燈循環(huán)控制電路設(shè)計二、設(shè)計任務(wù):1) 鞏固和加強數(shù)字電子技術(shù)基礎(chǔ)課程的理論知識;2) 掌握電子電路的一般設(shè)計方法,了解電子產(chǎn)品研制開發(fā)過程;3) 掌握電子電路安裝和調(diào)試及故障排除方法,學(xué)會用Multisim軟件對進行電路仿真操作;4) 通過查閱手冊和文獻資料,提升獨立分析問題和解決問題的能力;5) 培養(yǎng)創(chuàng)新能力和創(chuàng)新思維。

2、三、設(shè)計報告: 1、格式要求: 頁面:A4,上下左右頁邊距2.0厘米。 題目:小二黑體加粗;大標(biāo)題:三號黑體加粗;小標(biāo)題:小四黑體加粗;正文:五號宋體。 頁碼:底部居中。 2、報告內(nèi)容:1. 封面 2. 內(nèi)容提要3. 正文1) 設(shè)計要求2) 題目分析3) 設(shè)計思路與原理4) 電路圖的仿真5) 心得體會6) 參考文獻四、進度安排:第十四、十五周142017.12.4 12. 6日下達課程設(shè)計任務(wù)書: 明確課程設(shè)計的具體要求和應(yīng)完成的設(shè)計任務(wù),學(xué)生對課程設(shè)計所需各個步驟有個總體把握。搜集、整理和研究資料:針對題目本身涉及內(nèi)容,通過書籍、文獻、網(wǎng)絡(luò)等手段查閱相關(guān)資料。在學(xué)習(xí)借鑒類似課題研究成果同時,

3、提出自己的設(shè)計思路和方法。2017.12.7日設(shè)計課程報告152017.12.812.11日完善課程報告2017.12.1212.14日補充修正2017.12.15日課程設(shè)計報告的撰寫。并進行設(shè)計的答辯,給出課程設(shè)計成績5、 參考資料:1 康華光.電子技術(shù)基礎(chǔ)-數(shù)字部分M.華中理工大學(xué)教研室.波形發(fā)生器設(shè)計+數(shù)電課功撂郎滌昂訣譯哄舟鴦茁都2 高吉祥.電子技術(shù)基礎(chǔ)-實驗與課程設(shè)計M. 電子工業(yè)出版社. 形發(fā)生器設(shè)計+數(shù)電課免顛體旅番蘋晃功撂郎滌昂訣譯哄舟鴦茁都酮閱3 付子儀.電子技術(shù)課程指導(dǎo)書M. 河南理工大學(xué). 波形目 錄一、內(nèi)容提要1二、課程設(shè)計目的2三、課程設(shè)計要求2四、電路組成框圖3五、

4、元器件清單4六、各功能塊電路圖46.1 脈沖信號發(fā)生器46.1.1 555定時器46.1.2 多諧振蕩器66.2 順序脈沖發(fā)生器86.3 彩燈循環(huán)系統(tǒng)116.4仿真電路總圖13七、結(jié)果分析14八、總結(jié)14一、內(nèi)容提要本次電路設(shè)計利用555定時器、計數(shù)器等設(shè)計LED彩燈控制電路。通過按鍵實現(xiàn)如下循環(huán)特性:當(dāng)按鍵沒有按下時8個彩燈交叉循環(huán)點亮:即在前四秒內(nèi)第1、3、5、7盞燈依次點亮、后四秒內(nèi)8、6、4、2盞燈依次點亮,而當(dāng)按鍵按下一次后(按下兩次等效于沒有按下),實現(xiàn)8盞燈依次循環(huán)點亮(產(chǎn)生燈光追逐音樂、活躍氣氛的效果),并設(shè)計成同步電路模式。用555定時器設(shè)計的多諧振蕩器來提供時序脈沖,其優(yōu)點

5、是在接通電源之后就可以產(chǎn)生一定頻率和一定幅值矩形波的自激振蕩器,而不需要再外加輸入信號。由于555定時器內(nèi)部的比較器靈敏度較高,而且采用差分電路形式,這樣就使多諧振蕩器產(chǎn)生的振蕩頻率受電源電壓和環(huán)境溫度變化的影響很小。之后脈沖信號輸入到計數(shù)器,同時將計數(shù)器輸出端QC、QB、QA接到譯碼器的輸入端,當(dāng)譯碼器輸出電平為低電平時,與其相連接的LED會變亮。LED采用共陽極連接,并串上500的電阻。電路由按鍵SPST_NC_SB控制,使彩燈進入到不同的循環(huán)模式。電路圖連接好后,經(jīng)Multisim軟件調(diào)試測試,電路可以實現(xiàn)設(shè)計要求,即實現(xiàn)從題中要求的交叉循環(huán)顯示和音樂序列的循環(huán)顯示。整體電路采用同步電路

6、模式,采用TTL集成電路,電壓Vcc均為5V。運用了所學(xué)的555定時器、譯碼器、計數(shù)器與邏輯門電路等相應(yīng)的電路器件,提高了對于數(shù)字電子技術(shù)這門專業(yè)基礎(chǔ)課的認(rèn)識與理解,在實踐中發(fā)現(xiàn)不足,努力改正,提高了我自學(xué)、創(chuàng)新等能力,同時我們也掌握了相應(yīng)設(shè)計電子電路的能力,有利于今后對于專業(yè)課程的學(xué)習(xí)。關(guān)鍵詞:555定時器 計數(shù)器 譯碼器 彩燈循環(huán)控制2課程設(shè)計要求1) 提出設(shè)計方案;要根據(jù)指導(dǎo)教師布置的課題,學(xué)會找參考書籍、查閱手冊、圖表和文獻資料等。通過獨立思考,深入鉆研有關(guān)問題,學(xué)會自己分析解決問題的方法;2) 通過實際電路方案的分析比較、設(shè)計計算、元件選取、安裝調(diào)試等環(huán)節(jié),初步掌握簡單實用電路的分析

7、方法和工程設(shè)計方法; 3) 學(xué)習(xí)電子設(shè)計自動化EDA(Electronic Design Automation)工具Multisim并設(shè)計電路圖,功能仿真;4) 掌握常用儀器設(shè)備的正確使用方法,學(xué)會簡單電路的實驗調(diào)試和整機指標(biāo)測試方法,提高動手能力,能在教師指導(dǎo)下,完成課程任務(wù)。按任務(wù)要求,設(shè)計電路,計算參數(shù),選擇元器件。根據(jù)所設(shè)計的電路和所選擇的元器件制板,焊接安裝電路,并按照調(diào)試步驟進行調(diào)試。逐步排除故障最終達到設(shè)計要求;5) 撰寫設(shè)計報告,寫出設(shè)計與制作的全過程,附上有關(guān)資料和圖紙及心得體會了解與課題有關(guān)的電子線路以及元器件工程技術(shù)規(guī)范。6) 培養(yǎng)嚴(yán)肅認(rèn)真的工作作風(fēng)和科學(xué)態(tài)度。通過課程設(shè)

8、計實踐,逐步建立正確的生產(chǎn)觀念、工程觀念和全局觀點;7) 利用555定時器、計數(shù)器等設(shè)計LED彩燈控制電路。要求有原理電路、EDA仿真和實際電路;8) 彩燈循環(huán)控制的功能要求為:通過按鍵實現(xiàn)如下循環(huán)特性,當(dāng)按鍵沒有按下時8個彩燈交叉循環(huán)點亮,即在前四秒內(nèi)第1、3、5、7盞燈依次點亮,后四秒內(nèi)8、6、4、2盞燈依次點亮,而當(dāng)按鍵按下一次后(按下兩次等效于沒有按下),實現(xiàn)8盞燈依次循環(huán)點亮(產(chǎn)生燈光追逐音樂、活躍氣氛的效果);9) 要求設(shè)計成同步電路模式;10) 參考器件:該電路可由555定時器、同步4位二進制計數(shù)器74HCl61,3線-8線譯碼器分配器74HC138等組成。也可由學(xué)生自行選擇器件

9、。3電路組成框圖圖1 基本電路框架原理圖4. 元器件清單表1 元器件清單一覽表型 號名 稱數(shù) 量555定時器(LM555CM)脈沖信號發(fā)生器174LS161D16進制加法計數(shù)器174LS138N3線8線譯碼器274LS08D四2輸入與門2LED發(fā)光二極管8SPST_NC_SB按鍵1電容2(1F、10nF)電阻3(500、442k、500k)5各功能塊電路圖5.1 脈沖信號發(fā)生器5.1.1 555定時器555定時器是由比較器C1和C2,基本RS觸發(fā)器和集電極開路的放電三極管TD三部分組成。 VH是比較器C1的輸入端,v12是比較器C2的輸入端。C1和C2的參考電壓VR1和VR2由VCC經(jīng)三個五千

10、歐電阻分壓給出。在控制電壓輸入端VCO懸空時, VR1=2/3VCC,VR2=1/3VCC。如果VCO外接固定電壓, 圖2 555定時器邏輯符號則VR1=VCO,VR2=1/2VCO。 RD是置零輸入端。只要在RD端加上低電平,輸出端v0便立即被置成低電平,不受其他輸入端狀態(tài)的影響。正常工作時必須使RD處于高電平。圖2中的數(shù)碼18為器件引腳的編號。555定時器是一種中規(guī)模集成電路,如圖3所示,只要在外部配上適當(dāng)阻容元件,就可以方便地構(gòu)成脈沖產(chǎn)生和整形電路。圖3 555定時器內(nèi)部結(jié)構(gòu)圖(A) 電路組成555集成定時器由五個部分組成:1) 基本RS觸發(fā)器:由兩個“與非”門組成2) 比較器:C1、C

11、2是兩個電壓比較器3) 分壓器:阻值均為5千歐的電阻串聯(lián)起來構(gòu)成分壓器,為比較器C1和C2提供參考電壓。4) 晶體管開卷和輸出緩沖器:晶體管VT構(gòu)成開關(guān),其狀態(tài)受端控制。輸出緩沖器就是接在輸出端的反相器G3,其作用是提高定時器的帶負載能力和隔離負載對定時器的影響。(B) 基本功能當(dāng)時,輸出電壓為低電平,VT飽和導(dǎo)通。當(dāng)時,時,時,C1輸出低電平,C2輸出高電平,Q0,飽和導(dǎo)通。當(dāng)、時,C1、C2輸出均為高電平,基本RS觸發(fā)器保持原來狀態(tài)不變,因此、VT也保持原來狀態(tài)不變。當(dāng)、時,C1輸出高電平,C2輸出低電平,Q1,VT截止。表2 555定時器邏輯功能表輸 入輸 出閾值輸入(vI1)觸發(fā)輸入(

12、vI2)觸發(fā)輸入(vI2)輸出()放電管T00導(dǎo)通11截止10導(dǎo)通1不變不變5.1.2 多諧振蕩器產(chǎn)生信號脈沖的方法很多,這里選用的是多諧振蕩器。它可以在接通電源后產(chǎn)生一定頻率和一定幅值的矩形波,用作脈沖信號源。由于555定時器內(nèi)部的比較器靈敏度較高,而且采用差分電路行式,用555定時器組成的多諧振蕩器振蕩頻率手電源電壓和溫度變化的影響很小,使產(chǎn)生的波形更穩(wěn)定,所以我們選用555定時器組成多諧振蕩器。其內(nèi)部結(jié)構(gòu)如圖4所示:圖4 多諧振蕩器內(nèi)部結(jié)構(gòu)圖接通電源后,電容C1被充電,當(dāng)Vc上升到2/3Vcc時,使Vo為低電平,同時555定時器內(nèi)部的放電三極管T導(dǎo)通,此時電容C1通過R2和三極管放電,V

13、c下降。當(dāng)Vc下降到1/3Vcc時,Vo翻轉(zhuǎn)為高電平。電容C1放電所需時間為T2=R2*C1*ln20.7R2*C1 當(dāng)放電結(jié)束是,T截止,Vcc通過R1、R2 及電容C1充電,Vc由1/3Vcc上升到2/3Vcc所需時間,即為電容C1充電所需時間為T1=(R1+R2)*C1*ln20.7(R1+R2)*C1 當(dāng)Vc上升到2/3Vcc時,電路又翻轉(zhuǎn)為低電平。如此周而復(fù)始,于是,在電路的輸出端就得到一個周期性的矩形波。T=(R1+2R2)*C1*ln20.7(R1+2R2)*C1 其工作波形如圖5所示:圖5 多諧振蕩器工作波形電容C2取10nF,將電源Vcc中雜質(zhì)成分濾除,起到抗干擾作用??紤]到

14、彩燈循環(huán)點亮的時間要求,故設(shè)計出多諧振蕩器的振蕩周期為1s,即振蕩頻率為1Hz。由于T=(R1+2R2)*C1*ln20.7(R1+2R2)*C1=1s,取C1=1F,可得R1+2R2=1442 k,取R1=442k,R2=500k 。占空比q=( R1+R2)/ (R1+2R2) 0.65,將各原件按照電路圖所示與555定時器相連接,即得到所需多諧振蕩器,從而產(chǎn)生脈沖信號。5.2 順序脈沖發(fā)生器在設(shè)計中需要系統(tǒng)按照事先規(guī)定的順序進行一系列的操作。這就要求統(tǒng)的控制部分能給出一組在時間上有一定先后順序的脈沖信號,再用這組脈沖形成所需要的各種控制信號。順序脈沖發(fā)生器就是用來產(chǎn)生這樣一組順序脈沖的電

15、路。本題采用計數(shù)器和譯碼器組合成順序脈沖發(fā)生器,其電路圖如圖6所示。所示電路是用4位同步二進制計數(shù)器74LS161和3線8線譯碼器74LS138構(gòu)成順序脈沖發(fā)生器電路。圖中以74LS161的低3位輸出 QC、QB 、QA 作為74LS138的3位輸入信號。由74LS161的功能表可知,為使電路工作在計數(shù)狀態(tài),LOAD、CLR、ENP和ENT均應(yīng)接高電平。由于它的低3位觸發(fā)器是按八進制計數(shù)器連接的,所以在連接輸入CLK信號的情況下,QC QB QA 的狀態(tài)將按 000一直到 111 的順序反復(fù)循環(huán),并在譯碼器輸出端依次輸出 Y0至 Y7 的順序脈沖。圖6 順序脈沖發(fā)生電路按照題目要求,通過按鍵實

16、現(xiàn)如下循環(huán)特性,當(dāng)按鍵沒有按下時8個彩燈交叉循環(huán)點亮,即在前四秒內(nèi)第1、3、5、7盞燈依次點亮,后四秒內(nèi)8、6、4、2盞燈依次點亮,而當(dāng)按鍵按下一次后(按下兩次等效于沒有按下),實現(xiàn)8盞燈依次循環(huán)點亮(產(chǎn)生燈光追逐音樂、活躍氣氛的效果)。可知,當(dāng)按鍵沒有按下時,74LS138(U2)工作,此時,74LS138(U5)不工作,則輸出電平均為高電平,將74LS138(U2)輸出端的依次與74LS138(U5)輸出端的Y0Y2Y4Y6Y7 Y5Y3Y1做與運算,后分別連接到對應(yīng)的LED的陰極上。此時做與運算對74LS138(U2)輸出的電平無影響,此時,在前四秒內(nèi)第1、3、5、7盞燈依次點亮,后四秒

17、內(nèi)8、6、4、2盞燈依次點亮。當(dāng)按鍵按下之后,同理可知,LED的亮與滅由74LS138(U5)輸出端的電壓決定。譯碼器的輸入端CBA由000變化到111的過程中,輸出端只有一端輸出低電平,此時對應(yīng)連接的LED會亮。由多諧振蕩器輸入脈沖信號,計數(shù)器工作,其輸出端QC、QB、 QA的依次累加,是譯碼器的輸出端依次變?yōu)榈碗娖?,從而實現(xiàn)了LED的循環(huán)閃爍。例如,當(dāng)74LS138(U2)工作時,計數(shù)器的輸出端QC、QB、QA為000時,則譯碼器的輸入端CBA也為000,此時Y0低電平,由于另一個譯碼器未工作,則其輸出端輸出均為高電平,求與運算,不影響對LED的控制,此時LED1亮,當(dāng)下一個時序脈沖輸入到

18、計數(shù)器是,則QC、QB、QA變?yōu)?01,從而使LED3亮,其余不亮,以此類推,實現(xiàn)循環(huán)。計數(shù)器(74LS161)和譯碼器(74LS138)的邏輯功能:計數(shù)器(74LS161)74LS161是常用的4位二進制可預(yù)置的同步加法計數(shù)器,如下圖:圖7 74LS161D的管腳圖從74LS161功能表功能表中可以知道,當(dāng)清零端CLR=“0”,計數(shù)器輸出QD、QC、QB、QA立即為全“0”,這個時候為異步復(fù)位功能。當(dāng)CLR=“1”且LOAD=“0”時,在CLK信號上升沿作用后,74LS161輸出端QD、QC、QB、QA的狀態(tài)分別與并行數(shù)據(jù)輸入端D,C,B,A的狀態(tài)一樣,為同步置數(shù)功能。而只有當(dāng)CLR=LOA

19、D=ENP=ENT=“1”、CLK脈沖上升沿作用后,計數(shù)器加1。74LS161還有一個進位輸出端RCO。合理應(yīng)用計數(shù)器的清零功能和置數(shù)功能,一片74LS161可以組成16進制以下的任意進制分頻器。2) 譯碼器(74LS138) 譯碼器(74LS138)是常用的3 線8 線譯碼器,如下圖:圖8 74LS138N的管腳圖74LS138有三個附加的控制端,當(dāng)輸入為高電平(G11),譯碼器處于工作狀態(tài)。否則,譯碼器被禁止,所有的輸出端被封鎖在高電平。這三個控制端也叫做“片選”輸入端,利用片選的作用可以將多篇連接起來以擴展譯碼器的功能。 帶控制輸入端的譯碼器又是一個完整的數(shù)據(jù)分配器。74LS138 為3

20、 線8 線譯碼器,共有 54/74S138和 54/74LS138 兩種線路結(jié)構(gòu)型式,其工作原理如下: 當(dāng)一個選通端(G1)為高電平,另兩個選通端(/(G2A)和/(G2B))為 低電平時,可將地址端(A、B、C)的二進制編碼在一個對應(yīng)的輸出端以低電平譯出。 利用 G1、/(G2A)和/(G2B)可級聯(lián)擴展成 24 線譯碼器;若外接一個反相器還可級聯(lián)擴展成 32 線譯碼器。若將選通端中的一個作為數(shù)據(jù)輸入端時,74LS138還可作數(shù)據(jù)分配器。5.3 彩燈循環(huán)系統(tǒng)彩燈循環(huán)系統(tǒng)是整個設(shè)計的最后的顯示部分,也是最直觀明顯的顯示設(shè)計成果的關(guān)鍵。將順序脈沖發(fā)生器產(chǎn)生的順序脈沖加到彩燈上之后,可以使彩燈產(chǎn)生

21、循環(huán)閃爍的效果。圖9 彩燈循環(huán)電路 8個LED采用共陽極接法,輸入電壓為5V,并串聯(lián)500,作為保護電阻使用,以免LED的電壓過大。所以在使用LED時,要接上拉或下拉電阻,選一個適中的,這是要根據(jù)發(fā)光二極管正向內(nèi)阻,發(fā)光二極管正向電壓降、正常工作電流決定的。圖10 發(fā)光二極管參數(shù)由圖10可以看到發(fā)光二極管正向壓降為1.66V,正常工作電流為5mA,當(dāng)選擇500電阻。發(fā)光二極管正向內(nèi)阻為1.66V/5mA=332,而5*332/(332+500)1.995V1.66V,發(fā)光二極管會發(fā)光。如圖11所示,二極管兩端電壓也會穩(wěn)定在1.66V(閾值電壓)。 圖11 發(fā)光二極管的正向壓降測試電路 此時,當(dāng)

22、譯碼器輸出端輸出為低電平時,對應(yīng)的LED就會變亮,在下一次脈沖來臨之前,將一直保持。當(dāng)進入到下一次脈沖時,譯碼器的另一個輸出端變?yōu)榈碗娖剑藭r另一個LED會變亮,如此循環(huán)。六、仿真電路總圖圖12 仿真電路模擬七、結(jié)果分析對電路進行仿真模擬,每間隔1s,LED變換一次,如此循環(huán)閃爍。與多諧振蕩器的振蕩周期一致,符合預(yù)期。當(dāng)按鍵沒有按下時8個彩燈交叉循環(huán)點亮,即在前四秒內(nèi)第1、3、5、7盞燈依次,后四秒內(nèi)8、6、4、2盞燈依次點亮,而當(dāng)按鍵按下一次后(按下兩次等效于沒有按下),實現(xiàn)8盞燈依次循環(huán)點亮(產(chǎn)生燈光追逐音樂、活躍氣氛的效果)。采用同步電路模式,運用相應(yīng)的芯片,基本實現(xiàn)了彩燈循環(huán)閃爍的功能

23、。當(dāng)多諧振蕩器產(chǎn)生時序脈沖信號時,計數(shù)器被觸發(fā)會產(chǎn)生順序脈沖信號,彩燈的狀態(tài)也會隨著脈沖信號的改變而改變。圖13 電路仿真模擬圖八、總結(jié)本次課程設(shè)計是彩燈循環(huán)控制電路的設(shè)計。我們按照老師提示和題目要求,運用555計時器構(gòu)成多諧振蕩器來產(chǎn)生脈沖信號,由計數(shù)器和譯碼器對產(chǎn)生順序脈沖,最后來驅(qū)動LED,實現(xiàn)了彩燈的循環(huán)閃爍。采用的方法簡單易行,便于理解,成本較低。但我們也意識到方法太過單一,能實現(xiàn)的功能十分有限。其實,對于實現(xiàn)同一功能,我們會有不同的選擇,本題設(shè)計時,彩燈與彩燈之間的顯示時間間隔還可以通過改變信號的頻率來改變,當(dāng)然,也可以采用分頻器進行設(shè)計。簡潔也就是我們所希望做到的設(shè)計風(fēng)格。在使用

24、Multisim做仿真時,用555多諧振蕩電路產(chǎn)生的脈沖信號太慢。開始一直以為是連線或者參數(shù)選擇錯誤,檢查驗證了很多遍,后來通過上網(wǎng)查閱資料才知道Multisim10的傳遞函數(shù)普遍偏慢,無法產(chǎn)生預(yù)想的脈沖頻率,故用電壓脈沖方波信號代替??傠娐穲D畫好后還出現(xiàn)了很多問題,但是在不斷的思考和改善電路后,終于得出預(yù)想的結(jié)果。在這過程中,我學(xué)到了如何利用Multisim等學(xué)習(xí)軟件實現(xiàn)數(shù)字電路的設(shè)計仿真。從電路圖的設(shè)計、實現(xiàn)、仿真到實驗報告,我們都有自己的努力,在這短短的幾天內(nèi),從課本理論知識到實際的理解,從題目中的簡單計算到設(shè)計運用中的精心推敲,我們把數(shù)電知識的學(xué)習(xí)上升了一個層次,真正賦予了這門課程實際意義與價值。我們充分認(rèn)識到理論結(jié)合實際的重要性,在設(shè)計過程中,我們依然會遇到一些難題,這暴露了我們理論知識掌握不夠全面的弱點。于是,遇到難題的時候,我們及時回歸理論,向書本和網(wǎng)絡(luò)求教,這種互為補充的過程幫助我們

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論