單片機(jī)電梯控制系統(tǒng)設(shè)計畢業(yè)設(shè)計_第1頁
單片機(jī)電梯控制系統(tǒng)設(shè)計畢業(yè)設(shè)計_第2頁
單片機(jī)電梯控制系統(tǒng)設(shè)計畢業(yè)設(shè)計_第3頁
單片機(jī)電梯控制系統(tǒng)設(shè)計畢業(yè)設(shè)計_第4頁
單片機(jī)電梯控制系統(tǒng)設(shè)計畢業(yè)設(shè)計_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、滄州師范學(xué)院 畢業(yè)設(shè)計(論文) 單片機(jī)電梯控制系統(tǒng)設(shè)計單片機(jī)電梯控制系統(tǒng)設(shè)計 畢業(yè)設(shè)計(論文)評語及成績畢業(yè)設(shè)計(論文)評語及成績 學(xué)生姓名專業(yè)電氣自動化 畢業(yè)設(shè)計 (論文)題 目 單片機(jī)電梯控制系統(tǒng)設(shè)計 指導(dǎo)教師指導(dǎo)教師 指導(dǎo)教師評語: 答辯小組意見: 答辯小組組長簽字: 年 月 日 成績: 系主任簽字: 年 月 日 畢業(yè)設(shè)計(論文)任務(wù)書畢業(yè)設(shè)計(論文)任務(wù)書 題目基于 51 單片機(jī)控制電梯系統(tǒng)設(shè)計 專業(yè)電氣自動化學(xué)生姓名王新 所在系機(jī)電工程系導(dǎo)師姜榮東導(dǎo)師 崔茂齊 一、設(shè)計(論文)內(nèi)容 基于 51 單片機(jī)控制電梯系統(tǒng)設(shè)計,樓層為 8 層。 二、基本要求 用 51 單片機(jī)來實現(xiàn)電梯控制系統(tǒng)

2、,使其具備電梯基本升、降功能,并當(dāng)多層對 電梯呼叫時,能安排電梯???,從而實現(xiàn)電梯的基本運(yùn)行情況的模擬。論文的第 2 章進(jìn)行方案的提出與論證,分析本次設(shè)計所采用方案的可行性 三、主要技術(shù)指標(biāo)(或研究方法) 采用可編程控制器(plc) 的響應(yīng)、按鍵后的電路顯示等等。用 plc 編程較簡單,電路也不 復(fù)雜,但此方案的各個模塊的費(fèi)用都比較高,硬件設(shè)計不靈活,故不采用本方案。 四、應(yīng)收集的資料及參考文獻(xiàn) 51 單片機(jī)應(yīng)用設(shè)計,單片機(jī)輕松入門,模擬電子技術(shù),數(shù)字電子技術(shù)。 五、進(jìn)度計劃 第 1-2 周查找相關(guān)資料和整理所需資料設(shè)備技術(shù)參數(shù),分析任務(wù)的具體要求制 定總體方案。完成開題課題報告。第 3-4

3、周設(shè)計框圖和電路原理圖完成設(shè)計電路的 連接電路完成電梯控制的程序設(shè)計及調(diào)試。完成對系統(tǒng)的改進(jìn)和再次調(diào)試直至系統(tǒng) 能夠圓滿完成任務(wù)的具體要求。撰寫論文。 指導(dǎo)教師簽字時間2013 年 11 月 29 日 目目 錄錄 摘 要: .5 關(guān) 鍵 詞: .5 引言: .6 一、系統(tǒng)功能介紹及方案論證系統(tǒng)功能介紹及方案論證.6 11電梯的組成電梯的組成.6 12電梯控制系統(tǒng)組成框圖及工作原理電梯控制系統(tǒng)組成框圖及工作原理.6 1. 3單元電路的設(shè)計與論證單元電路的設(shè)計與論證. .7 131 單片機(jī)最小系 統(tǒng).7 132 電機(jī)驅(qū)動電路模塊. 7 133 報警模塊. 7 134 電梯內(nèi)部電路、電梯間電路及控制

4、臺電路模塊的設(shè)計. 7 二 、基于單片機(jī)的電梯控制系統(tǒng)單元電路的設(shè)計. 8 2 21 1單片機(jī)最小系統(tǒng)單片機(jī)最小系統(tǒng). 9 211 各樓層電梯間電路(三級標(biāo)題 黑體小四號).9 2 21 12 2 電梯內(nèi)電路.9 2 21 13 3 控制臺電路.9 2 21 14 4 樓層檢 測.10 215 電動機(jī)驅(qū) 動.10 2 21 16 6 報警部分.11 三、軟件設(shè)計. 11 3 31 1 按鍵查詢部分按鍵查詢部分.11 3 31 11 1 電機(jī)控制部電機(jī)控制部 分分.12 3 31 12 2 樓層檢測及顯示部分樓層檢測及顯示部分. 12 致謝 .12 參考 文獻(xiàn)1 錯誤!未定義書簽。錯誤!未定義書

5、簽。 附圖圖紙.14 程序清單 15 摘摘 要:要: 伴隨著我國現(xiàn)代化程度的提高,電梯成為高層建筑中的重 要交通工具,它是高層建筑中安全、可靠、垂直上下的運(yùn)載工 具,對改善勞動條件、減輕勞動強(qiáng)度起到很大的作用。同時也 給人們的生活帶來了便利,為我國現(xiàn)代化建設(shè)的加速發(fā)展提供 了強(qiáng)大的保障。電梯的應(yīng)用范圍很廣,可用于賓館、飯店、辦 公大樓、商場、娛樂場所,倉庫以及居民住宅大樓等。因此, 在現(xiàn)代社會中電梯已成為人類必不可少的垂直運(yùn)輸交通工具。 利用單片機(jī)控制電梯有成本低,通用性強(qiáng),靈活性大以及易于 實現(xiàn)復(fù)雜控制等優(yōu)點(diǎn)。 基于單片機(jī)的分設(shè)計,介紹了以 at89c51 系列單片機(jī)為 核心,并結(jié)合 74l

6、s245 和 led 等芯片以及與之相配套的匯編 語言軟件等進(jìn)行電梯模擬的具體實現(xiàn)方法,該方法不僅可以實 現(xiàn)電梯的基本功能,而且可以設(shè)置電梯直達(dá)、急停、停電檢修 等功能,從而可實現(xiàn)電梯的智能控制及相應(yīng)的最佳路線選擇,提 高電梯的有效利用率。 關(guān)關(guān) 鍵鍵 詞:詞: 單片機(jī);電梯;系統(tǒng);控制 單片機(jī)電梯控制系統(tǒng)設(shè)計單片機(jī)電梯控制系統(tǒng)設(shè)計 11 級電氣自動化專業(yè)學(xué)生 王新 指導(dǎo)教師 姜榮東 引言: 隨著現(xiàn)代高科技的發(fā)展,住房和辦公用樓都已經(jīng)逐漸向高層發(fā)展。電梯是 高層賓館、商店、住宅、多層倉庫等高層建筑不可缺少的垂直方向的交通運(yùn)輸 工具。 當(dāng)然單片機(jī)并不像 plc 那么有針對性,所以由單片機(jī)設(shè)計的控

7、制系統(tǒng)可以 隨著設(shè)備的更新而不斷修改完善,更完美的實現(xiàn)設(shè)備的升級。在科技的不斷發(fā) 展下,單片機(jī)控制系統(tǒng)很快可以解決抗擾性,成為方便有效的電梯控制系統(tǒng)。 一、一、系統(tǒng)功能介紹及方案論證系統(tǒng)功能介紹及方案論證 1 11 1 電梯的組成電梯的組成 電梯主要由以下兩大部分組成: (1)機(jī)械部分:包括曳引系統(tǒng),導(dǎo)向系統(tǒng),嬌廂,門系統(tǒng)等 (2)電路部分: 包括重量平衡系統(tǒng),電力拖動系統(tǒng),電梯控制系統(tǒng),安 全保護(hù)系統(tǒng)等。 本文主要是針對電梯控制系統(tǒng)所作的研究,設(shè)計一種基于 mcu 的電梯控制 系統(tǒng),實現(xiàn)電梯運(yùn)行的模擬。 1 12 2 電梯控制系統(tǒng)組成框圖及工作原理電梯控制系統(tǒng)組成框圖及工作原理 該系統(tǒng)主要由

8、 mcu 控制模塊、電梯間電路模塊、電梯內(nèi)電路模塊、控制臺 電路、樓層檢測模塊、電動機(jī)驅(qū)動模塊、報警模塊等 7 部分組成 控制模塊 電梯內(nèi)電路電梯間電路 報警電路 電動機(jī)控制模塊樓層檢測 控制臺電路 1 13 3 單元電路的設(shè)計與論證單元電路的設(shè)計與論證 通過對系統(tǒng)總框圖的分析,此系統(tǒng)的各個模塊方案設(shè)計如下: 1 13 31 1 單片機(jī)最小系統(tǒng)單片機(jī)最小系統(tǒng) 此處選用最常用的 at89c51,它是一種帶 4k 字節(jié)閃爍可編程可擦除只讀 存儲器(fperomfalsh programmable and erasable read only memory)的 低電壓,高性能 cmos8 位微處理器

9、。該器件采用 atmel 高密度非易失存儲器制 造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的 mcs-51 指令集和輸出管腳相兼容。由于將多功能 8 位 cpu 和閃爍存儲器組合在單個芯片中,atmel 的 at89c51 是一種高效微控制 器,at89c2051 是它的一種精簡版本。at89c 單片機(jī)為很多嵌入式控制系統(tǒng)提供 了一種靈活性高且價廉的方案。外形及引腳排列如下圖所示 主要特性:主要特性: 與 mcs-51 兼容 4k 字節(jié)可編程閃爍存儲器,壽命:1000 寫/擦,循環(huán)數(shù)據(jù) 保留時間:10 年,全靜態(tài)工作:0hz-24hz,三級程序存儲器鎖定,128*8 位內(nèi) 部 ram,32 可編程 i/o 線,兩

10、個 16 位定時器/計數(shù)器,5 個中斷源 ,可編程串 行通道,低功耗的閑置和掉電模式,片內(nèi)振蕩器和時鐘電路 1 13 32 2 電機(jī)驅(qū)動電路模塊電機(jī)驅(qū)動電路模塊 采用 l298n 芯片驅(qū)動,根據(jù)單片機(jī)系統(tǒng)程序設(shè)定 pwm 信號,在輸出端接入 直流電機(jī),根據(jù)不同按鍵的不同信號就可以實現(xiàn)電梯上升與下降。以及電梯門 的開關(guān)。 1 13 33 3 報警模塊報警模塊 直接采用單片機(jī)控制輸出口電平的高低來控制蜂鳴器的發(fā)聲與否。這樣做 的優(yōu)點(diǎn)是電路比較簡單,控制也較簡單。 134 電梯內(nèi)部電路、電梯間電路及控制臺電路模塊的設(shè)計電梯內(nèi)部電路、電梯間電路及控制臺電路模塊的設(shè)計 電梯控制系統(tǒng)由各樓層的電梯間電路、

11、電梯內(nèi)電路和控制臺電路三部分組 成。各樓層的電梯間:電路二、三樓的電梯間均有“上升和“下降”選擇按鍵, 一樓只有“上升按鍵,四樓只有“下降按鍵,每個按鍵配一只發(fā)光二極管, 作為指示燈。電梯內(nèi)部電路:目標(biāo)樓層號 1-4 選擇按鍵。每個按鍵配有相應(yīng)指 示燈??刂婆_電路:兩個按鍵用于手動控制??刂齐娞莸摹伴_始運(yùn)行和“停止 運(yùn)行。兩個指示燈,分別指示電梯當(dāng)前的升降情況。 樓層顯示部分用一只數(shù)碼管,顯示電梯當(dāng)前所在的樓層。采用 74hc164 共 陰極數(shù)碼管顯示,公共端接地。 顯示數(shù)字0123456789 代碼3fh06h5bh4fh66h6dh7dh07h7fh6fh 二二 、基于單片機(jī)的電梯控制系統(tǒng)

12、單元電路的設(shè)計基于單片機(jī)的電梯控制系統(tǒng)單元電路的設(shè)計 2 21 1 單片機(jī)最小系統(tǒng)單片機(jī)最小系統(tǒng) ea/vp 31 x1 19 x2 18 reset 9 rd 17 wr 16 int0 12 int1 13 t0 14 t1 15 p10 1 p11 2 p12 3 p13 4 p14 5 p15 6 p16 7 p17 8 p00 39 p01 38 p02 37 p03 36 p04 35 p05 34 p06 33 p07 32 p20 21 p21 22 p22 23 p23 24 p24 25 p25 26 p26 27 p27 28 psen 29 ale/p 30 txd 1

13、1 rxd 10 u1 at89c51 x1 c1 30p c2 30p c3 22u reset vcc p3.4 p3.5 p3.6 p3.7 stop start p1.0 p1.0 p1.2 p1.3 p1.4 p1.5 p3.0 p3.1 p3.3 p3.2 p0.0 p0.1 p0.2 p0.3 p0.4 p0.5 p0.6 p0.7 p2.0 p2.1 p2.2 p2.3 p2.4 p2.5 p2.6 p2.7 vcc 單片機(jī)最小系統(tǒng)電路如圖所示,單片機(jī)采用 atmel 公司的 at89c51,晶體 振蕩器選 12mhz,c1、c2 為 30p 瓷片電容,與晶體振蕩器構(gòu)成時鐘電路

14、。電容 c3 按鍵 reset 構(gòu)成上電復(fù)位和手動復(fù)位電路。 2 21 11 1 各樓層電梯間電路各樓層電梯間電路 如上圖所示,此部分電路是提供給處于各個樓層電梯外的用戶發(fā)出上下樓 請求。按鍵與單片機(jī)的 p1.0-p1.5 分別相連,指示燈通過 p0.0-p0.5 來控制。 其中 r52、r55、r56、r59、r60 和 r62 是上拉電阻,其作用是保證按鍵未按下 時,端口 p1.0p1.5 為高電位。當(dāng)按鍵按下時,端口 p1.0p1.5 通過按鍵接 地,使得.0p1.5 變?yōu)榈碗娖?。各樓層電梯的升降選擇按鍵均與單片機(jī) p1 口 連接,上升按鍵與 p1 口的 p1.0p1.2 連接,下降按鍵

15、與 p1 口的 p1.3p1.5 連 接。即由 p1 口可以讀到電梯間升、降按鍵的狀態(tài)。每個上升、下降按鍵均有一 只發(fā)光二極管作為指示燈與之配合,發(fā)光二極管與 po 口的 p0.0p0.5 連接。 每個發(fā)光二極管通過一只阻值為 470 的限流電阻接電源(vcc),這樣流經(jīng)發(fā)光 二極管的電流約為 75 ma,有適當(dāng)?shù)牧炼?,同時單片機(jī)的端口在不加驅(qū)動的 情況下可以承受 2 21 12 2 電梯內(nèi)電路電梯內(nèi)電路 電梯內(nèi)電路如圖 3-3 所示,提供給電梯內(nèi)的乘客用戶使用,四個目標(biāo)樓層 選擇按鍵 f1、f2、f3、f4 和 4 個與之配合的發(fā)光二極管作為指示燈,即 fs1、fs2、fs3 和 fs4。按

16、鍵與 p3 口的 p3.2p3.5 連接,指示燈與 p2 口的 p2.0p2.3 相連。上拉電阻 r11r14 的作用同上。 2 21 13 3 控控 制臺電路制臺電路 控制臺電路如下圖所示。發(fā)光二極管 power 是電源指示燈,用以顯示供電 是否正常。采用共陰極數(shù)碼管來顯示當(dāng)前樓層,采用 74hc164 數(shù)碼管,clk 接 單片機(jī)的 rxd,a、b 接單片機(jī)的 txd,clr 接 5v 電壓,q0 到 q7 接共陰極數(shù)碼 管,數(shù)碼管公共端接地。stop 鍵和 start 鍵分別接單片機(jī)的 p1.6 和 p1.7,上 下指示燈分別接單片機(jī)的 p0.7 和 p0.6 2 21 14 4 樓層檢測

17、樓層檢測 在本設(shè)計當(dāng)中,光電傳感器電路連接圖如下所示:如圖所示,d1,t1 組成紅外發(fā)射- 接受對管,d1 通電后發(fā)出紅外信號,光槽若未擋住,t1 將導(dǎo)通,比較器 lm339 輸出低電 平,光槽光線若被擋,t1 截止,lm339 輸出高電平。利用電梯行至樓層標(biāo)志處光槽光線 被電梯遮擋所帶來的電平變化發(fā)送到單片機(jī) p3.6 計數(shù)即可實現(xiàn)樓層檢測。 2 21 15 5 電動機(jī)驅(qū)動電動機(jī)驅(qū)動 直流電動機(jī)驅(qū)動電路主要是用來控制直流電動機(jī)的轉(zhuǎn)動方向,通過改變直 流電動機(jī)兩端的電壓可以控制電動機(jī)的轉(zhuǎn)動方向。采用 l298n 驅(qū)動,可根據(jù) pwm 調(diào)節(jié)脈寬進(jìn)行電機(jī)調(diào)速和正反轉(zhuǎn)。由 l298n 內(nèi)部電路無續(xù)流

18、二極管對三極 管進(jìn)行保護(hù),所以必須在外部接線 out1,oout2,out3,out4 加入續(xù)流二極管 保護(hù)電路。l298n 的輸出電流為 2a,最高電流為 4a,最高工作電壓為 50v.一臺 用于電梯上升和下降,另臺電機(jī)用于帶動電梯門的開關(guān)。 2 21 16 6 報警部分報警部分 如圖 3-8 所示,此處利用兩個常用三極管 cs9013,連接成達(dá)林頓管 結(jié)構(gòu),此電路與單片機(jī) p3.7 相連。其中 r 為限流電阻,當(dāng)電梯運(yùn)行過程中出現(xiàn) 故障停止, p3.7 輸出高電平,蜂鳴器報警,另外用戶在電梯中如果遇到緊急 情況也可按“help”直接報警求救。 三三 、軟件設(shè)計 3 31 1 按鍵查詢部分按

19、鍵查詢部分 在本設(shè)計當(dāng)中,鍵盤采用獨(dú)立式按鍵,按鍵由 p1 口和 p3.0-p3.3 控制, 采用定時器 t1 中斷查詢按鍵狀態(tài),當(dāng)有鍵按下時,即轉(zhuǎn)入相應(yīng)功能程序。鍵盤 程序流程圖如圖 4-2 所示: 3 31 11 1 電機(jī)控制部分電機(jī)控制部分 本設(shè)計只是對電梯運(yùn)行狀況的簡單模擬,對電機(jī)驅(qū)動這部分要求不是很嚴(yán) 格,只需要實現(xiàn)電機(jī)的正反轉(zhuǎn)就可以了,所以選擇直流電機(jī)和 l289n 芯片驅(qū)動, 可根據(jù) pwm 調(diào)節(jié)脈寬進(jìn)行電機(jī)調(diào)速和正反轉(zhuǎn)。由 l298n 內(nèi)部電路無續(xù)流二極管 對三極管進(jìn)行保護(hù),所以必須在外部接線 out1,oout2,out3,out4 加入續(xù)流 二極管保護(hù)電路。l298n 的輸

20、出電流為 2a,最高電流為 4a,最高工作電壓為 50v。 3 31 12 2 樓層檢測及顯示部分樓層檢測及顯示部分 利用光電傳感器檢測樓層,電梯行至樓層標(biāo)志處(裝有光電傳感器)時, 光槽光線被遮擋,引其電平變化,通過 p3.6 發(fā)送到單片機(jī)中 r4 計數(shù),可實現(xiàn) 樓層檢測。并實時的,通過 rxd 和 txd,送到 74hc164 數(shù)碼管顯示當(dāng)前樓層數(shù)。 程序詳見附錄主程序清單。 報警部分報警部分 當(dāng)電梯運(yùn)行中發(fā)生故障停止時,p3.7 置 1,發(fā)出報警,另外還可以通過手 動按“help”實現(xiàn)報警求救。程序詳見附錄主程序清單 致謝致謝: 畢業(yè)論文暫告收尾,這也意味著我在滄州師院的學(xué)習(xí)中,學(xué)習(xí)上和

21、思 想上都受益匪淺。這除了自身努力外,與各位老師、各位同學(xué)和各位朋友 的關(guān)心支持和鼓勵是分不開的。在此,我非常感謝江榮東老師在我大學(xué)的 最后學(xué)習(xí)階段給自己的指導(dǎo)。從最初的定題,到硬件的制作再到軟件的調(diào) 試,每個方面都離不開楊老師熱情耐心的幫助和教導(dǎo)。每當(dāng)遇到比較棘手 的問題,江老師都會耐心的親自給我解答,直到解決問題。江老師認(rèn)真的 工作態(tài)度,誠信寬厚的為人處世態(tài)度,都給我留下了難以磨滅的印象,也 為我今后的工作樹立了優(yōu)秀的榜樣。 參考文獻(xiàn):參考文獻(xiàn): 1 王宜懷編著 單片機(jī)原理及其嵌入式應(yīng)用教程 北京希望 電子出版社 2002 年 2 馮濤 秦永左主編 單片機(jī)原理及運(yùn)用 國防工業(yè)出版社 200

22、9 年 3 葉斌主編 電力電子應(yīng)用技術(shù) 清華大學(xué)出版社 2006 年 4 張崇巍 張興編著 pwm 整流器及其控制 機(jī)械工業(yè)出版社 2003 年 5夏明娜 高玉芝主編 單片機(jī)系統(tǒng)設(shè)計及應(yīng)用 北京理工大學(xué)出版 社 2011 年 7 胡宴如主編 模擬電子技術(shù) 高等教育出版社 2004 年 8 楊志忠主編 數(shù)字電子技術(shù) 高等教育出版社 2003 年 附錄: 電動機(jī)驅(qū)動圖 電源電路圖 1 2 3 4 d1 t 104 25v 470uf 16v 470uf 104 r 510 vin 1 gnd 2 vout 3 7805 220v12v 整體電路圖 附錄二:主程序清單 org 0000h ajmp

23、start org 000bh ajmp time org 001bh ajmp time1 ;*start 啟動程序* start: mov tmod,#11h mov ie,#8ah mov th0,#3ch ;定時 100ms mov tl0,#0b0h mov th1,#0ech ;定時 10ms mov tl1,#78h setb tr0 mov sp,#6fh ;*stop 停止程序* 12345678 a b c d 87654321 d c b a title numberrevisionsize a3 date:13-dec-2011sheet of file:i:例例les

24、son11.ddbdrawn by: ea/vp 31 x1 19 x2 18 reset 9 rd 17 wr 16 int0 12 int1 13 t0 14 t1 15 p10/t 1 p11/t 2 p12 3 p13 4 p14 5 p15 6 p16 7 p17 8 p00 39 p01 38 p02 37 p03 36 p04 35 p05 34 p06 33 p07 32 p20 21 p21 22 p22 23 p23 24 p24 25 p25 26 p26 27 p27 28 psen 29 ale/p 30 txd 11 rxd 10 u2 at89c51 r? res

25、2 r? res2 d? led s? sw-pb u? gnd r? res2 d? led r? res2 d? led r? res2 s? sw-pb u? gnd r? res2 s? sw-pb u? gnd r? res2 r? res2 d? led d? led r? res2 s? sw-pb u? gnd r? res2 s? sw-pb u? gnd r? res2 d? led r? res2 s? sw-pb u? gnd d? led d? led r? res2 r? res2 r? res2 s? sw-pb u? gnd r? res2 r? res2 s?

26、 sw-pb d? led u? gnd +5v p1.0 p1.1 p1.2 p1.3 p1.4 p1.5 p1.6 p1.7 p0.0 p0.1 p0.2 p0.3 p0.4 p0.5 p0.6 p0.7 p3.3 p3.2 p3.4 p3.5p2.4 p2.5 p2.6 p2.7 u? gnd c? cap c? cap u? gnd y? crystal s? sw-pb c? cap +5v r? res2 ar? opamp r? res2 q? npn-photo r? res2 d? led r? pot2 u? gnd d? led d? led d? led d? led

27、r? res2 r? res2 r? res2 r? res2 r? res2 s? sw-pb r? res2 s? sw-pb r? res2 s? sw-pb r? res2 s? sw-pb u? gnd r? res2 q? npn q? npn s? sw-pb u? gnd ls? speaker +5v p0.0 p1.0 p0.1 p0.3 p1.3 p1.1 p0.2 p0.4 p1.4 p0.5 p1.5 p1.2 p2.0 p2.1 p2.2 p2.3 p3.4 p3.5 p3.2 p3.3 p0.6 p0.7 p1.7 p1.6 p3.6 p3.7 a bf c g

28、d e dpy 1 2 3 4 5 6 7 a b c d e f g 8 dp dp ds? dpy_7-seg_dp q0 q1 q2 q3 q4 q5 q6 q7 b a clk clk u? component_1 +5v u? gnd stop: clr p0.6 clr p0.7 mov r3,#0 mov dptr,#06h ;數(shù)碼管顯示“1” jb p1.7,$ ;等待開始工作指令 clr p2.4 clr p2.5 ;電動機(jī)制動 setb p0.6 setb p2.7 ;蜂鳴器報警 setb tr1 ;啟動 t1 ;10ms 一次讀取按鍵 ;*一樓上升按鍵選擇程序* up1:

29、 mov a,20h ;目前在 1 樓 orl a,21h ;取得1 樓請求情況 orl a,22h anl a,#0eh jz up1 ;無請求,則等待 clr p0.7 ;上升指示燈亮 setb p2.5 setb p2.7 ;電動機(jī)正轉(zhuǎn)上升 ;*二樓上升按鍵選擇程序* up2: mov dptr,#5bh ;到達(dá) 2 樓,數(shù)碼管顯示“2” jb 20h.1,up21 ;是 2 樓電梯間的上升請求,轉(zhuǎn) up21 jb 22h.1,up21 ;是電梯內(nèi)目標(biāo) 2 樓請求,轉(zhuǎn) up21 sjmp up22 up21: clr 20h.1 ;清二樓電梯間上升請求標(biāo)志位 clr 22h.1 ;清電梯

30、內(nèi)目標(biāo) 2 樓請求標(biāo)志位 setb p0.7 ;上升指示燈滅 setb p2.4 setb p2.6 ;電機(jī)正轉(zhuǎn)電梯門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 setb p2.7 ;電動機(jī)正轉(zhuǎn)上升 up22: mov a,20h orl a,21h orl a,22h anl a,#0ch ;取得2 樓請求情況 jnz up23 ajmp down22 ;2 樓無請求,轉(zhuǎn) 2 樓下降 up23: clr p0.7 ;上升指示燈亮 setb p2.5 setb p2.7 ;電動機(jī)正轉(zhuǎn)上升 ;*三樓上升按

31、鍵選擇程序* up3: mov dptr,#4fh ;到達(dá) 3 樓,數(shù)碼管顯示“3” jb 20h.2,up31 ;是 3 樓電梯間的上升請求,轉(zhuǎn) up31 jb 22h.2,up31 ;是電梯內(nèi)目標(biāo) 3 樓請求,轉(zhuǎn) up31 sjmp up32 up31: clr 20h.1 ;清 3 樓電梯間上升請求標(biāo)志位 clr 22h.1 ;清電梯內(nèi)目標(biāo) 3 樓請求標(biāo)志位 setb p0.7 ;上升指示燈滅 setb p2.4 setb p2.6 ;門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 setb p2.

32、7 ;電動機(jī)正轉(zhuǎn)上升 up32: mov a,20h orl a,21h orl a,22h anl a,#08h ;取得3 樓請求情況 jnz up33 ajmp down32 ;3 樓無請求,轉(zhuǎn) 3 樓下降 up33: clr p0.7 ;上升指示燈亮 setb p2.5 setb p2.7 ;電動機(jī)正轉(zhuǎn)上升 up34: mov dptr,#66h ;到達(dá) 4 樓,數(shù)碼管顯示 “4” ;*四樓下降按鍵選擇程序* up41: clr 20.h3 ;清 4 樓電梯間下降請求標(biāo)志 位 clr 22h.3 ;清電梯內(nèi)目標(biāo) 4 樓請求標(biāo)志 位 setb p0.7 ;上升指示燈滅 setb p2.4

33、setb p2.6 ;門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 setb p2.7 ;電動機(jī)正轉(zhuǎn)上升 ud4: mov a,50h orl a,21h orl a,22h anl a,#07h ;取得4 樓的請求情況 jnz down4 ajmp ud4 down4: clr p0.6 ;下降指示燈亮 setb p2.5 clr p2.7 ;下降 ;*三樓下降按鍵選擇程序* down3: mov dptr,#4fh ;到達(dá) 3 樓,數(shù)碼管顯示 “3” jb 21h.2,down31 ;是 3 樓電梯

34、間的下降請求,轉(zhuǎn) down31 jb 22h.2,down31 ;是電梯內(nèi)目標(biāo) 3 樓請求,轉(zhuǎn) down31 s jmp down32 down31:clr 21h.2 ;清 3 樓電梯間下降請求標(biāo)志位 clr 22h.2 ;清電梯內(nèi)目標(biāo) 3 樓請求標(biāo)志位 setb p0.6 ;下降指示燈滅 setb p2.4 sebt p2.6 ;門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 clr p2.7 ;下降 down32:mov,20h orl a,21h orl ,22h anl a,#03h ;取得3

35、樓的請求情況 jnz down33 ajmp up32 ;3 無樓請求,轉(zhuǎn) 3 樓上升 down33:clr p0.6 ;下降指示燈亮 sebt p2.5 clr p2.7 ;下降 ;*二樓下降按鍵選擇程序* down2: mov dptr,#5bh ;到達(dá) 2 樓,數(shù)碼管顯示“2” jb 21h.1,down21 ;是 2 電梯間的下降請求,轉(zhuǎn) down21 jb 22h.1,down2 ;是電梯內(nèi)目標(biāo) 3 樓請求,轉(zhuǎn) down21 sjmp down22 down21:clr 21h.1 ;清 2 樓電梯間下降請求標(biāo)志位 clr 22h.1 ;清電梯內(nèi)目標(biāo) 2 樓請求標(biāo)志位 setb p0

36、.6 ;下降指示燈滅 setb p2.4 setb p2.6 ;門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 clr p2.7 ;下降 down22:mov a,20h orl a,21h orl a,22h anl a,#02h ;取得2 的請求情況 jnz down23 ajmp up22 ;2 樓請求,轉(zhuǎn) 2 樓上升 down23:clr p0.6;下降指示燈亮 setb p2.5 clr p2.7 ;下降 down1: mov dptr,#06h ;到達(dá) 1,數(shù)碼管顯示“1” down11:cl

37、r 21h.0 ;清電梯內(nèi)目標(biāo) 1 請求標(biāo)志位 setb p0.6 ;下降指示燈滅 setb p2.4 setb p2.6 ;門開 mov r2,#0 ;5s 定時開始 cjne r2,#50,$ ;等待 5s 延時 clr p2.6 ;門關(guān) setb p2.5 clr p2.7 ;下降 cjne r3,#0,down12;stop 鍵是否按下過 ajmp up1 down12:clrp0.6 ;若 stop 鍵按下過,轉(zhuǎn) s1 停止工作 clr p0.7 ajmp s1 ;定時器 t0 中斷服務(wù)程序:5s 計時 time: mov th0,#3ch mov tl0,#0b0h inc r2

38、;r2 計數(shù)器 reti ;* t1 中斷服務(wù)程序:按鍵狀態(tài)檢查* time1: mov th1,#0ech ;每 10s 檢查一次按鍵 mov tl1,#78h mov 6eh,a mov 30h,p1 ;讀入所有按鍵狀態(tài) mov 31h,p3 jb p1.6,time11 ;若 stop 鍵未按下,則正常運(yùn) 行 mov r3,#0ffh ;stop 鍵按下,標(biāo)志 r3 置非 0 數(shù) mov 20h,#0 ;清除全部電梯間上升請求 mov 21h,#0 ;清除全部電梯間下降請求 mov 22h,#0 ;清除全部電梯內(nèi)目標(biāo)樓層請 求 mov 30h,#0ffh ;修改讀入的按鍵狀態(tài),使之為 mov 31h,#0feh ;電梯內(nèi)目標(biāo)為一樓 clr tr1 ;并關(guān)閉 t1,不再讀取按鍵 time11: mov a,30h cpl a anl a,#07h ;取得電梯間上升請求 orl 20h,a mov a,20h ;取得上升指示燈狀態(tài) cpl

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論