三相橋式PWM逆變電路_第1頁
三相橋式PWM逆變電路_第2頁
三相橋式PWM逆變電路_第3頁
三相橋式PWM逆變電路_第4頁
三相橋式PWM逆變電路_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、三相橋式pwm逆變電路三相橋式pwm逆變電路 編輯整理:尊敬的讀者朋友們:這里是精品文檔編輯中心,本文檔內(nèi)容是由我和我的同事精心編輯整理后發(fā)布的,發(fā)布之前我們對(duì)文中內(nèi)容進(jìn)行仔細(xì)校對(duì),但是難免會(huì)有疏漏的地方,但是任然希望(三相橋式pwm逆變電路)的內(nèi)容能夠給您的工作和學(xué)習(xí)帶來便利。同時(shí)也真誠(chéng)的希望收到您的建議和反饋,這將是我們進(jìn)步的源泉,前進(jìn)的動(dòng)力。本文可編輯可修改,如果覺得對(duì)您有幫助請(qǐng)收藏以便隨時(shí)查閱,最后祝您生活愉快 業(yè)績(jī)進(jìn)步,以下為三相橋式pwm逆變電路的全部?jī)?nèi)容。 湘潭大學(xué) 課程設(shè)計(jì)報(bào)告書題目:三相橋式pwm逆變電路設(shè)計(jì) 學(xué) 院 信息工程學(xué)院 專 業(yè) 自動(dòng)化 學(xué)生 同組成員 指導(dǎo)教師 課

2、程編號(hào) 課程學(xué)分 起始日期 目 錄一、課題背景1二、三相橋式spwm逆變器的設(shè)計(jì)內(nèi)容及要求2三、spwm逆變器的工作原理3 1.工作原理4 2.控制方式53。正弦脈寬調(diào)制的算法8四、matlab仿真分析17五、電路設(shè)計(jì)11 1.主電路設(shè)計(jì)11 2.控制電路設(shè)計(jì)123。保護(hù)電路設(shè)計(jì)144。驅(qū)動(dòng)電路設(shè)計(jì)15六、實(shí)驗(yàn)總結(jié)21附錄22參考文獻(xiàn)23三相橋式spwm逆變電路設(shè)計(jì) 一、課題背景隨著電力電子技術(shù)的飛速發(fā)展,正弦波輸出變壓變頻電源已被廣泛應(yīng)用在各個(gè)領(lǐng)域中,與此同時(shí)對(duì)變壓變頻電源的輸出電壓波形質(zhì)量也提出了越來越高的要求.對(duì)逆變器輸出波形質(zhì)量的要求主要包括兩個(gè)方面:一是穩(wěn)態(tài)精度高;二是動(dòng)態(tài)性能好.因

3、此,研究開發(fā)既簡(jiǎn)單又具有優(yōu)良動(dòng)、靜態(tài)性能的逆變器控制策略,已成為電力電子領(lǐng)域的研究熱點(diǎn)之一。 在現(xiàn)有的正弦波輸出變壓變頻電源產(chǎn)品中,為了得到spwm波,一般都采用雙極性調(diào)制技術(shù)。該調(diào)制方法的最大缺點(diǎn)是它的6個(gè)功率管都工作在較高頻率(載波頻率),從而產(chǎn)生了較大的開關(guān)損耗,開關(guān)頻率越高,損耗越大。本實(shí)驗(yàn)針對(duì)正弦波輸出變壓變頻電源spwm調(diào)制方式及數(shù)字化控制策略進(jìn)行了研究,以sg3525為主控芯片,以期得到一種較理想的調(diào)制方法,實(shí)現(xiàn)逆變電源變壓、變頻輸出。正弦逆變電源作為一種可將直流電能有效地轉(zhuǎn)換為交流電能的電能變換裝置被廣泛地應(yīng)用于國(guó)民經(jīng)濟(jì)生產(chǎn)生活中 ,其中有:針對(duì)計(jì)算機(jī)等重要負(fù)載進(jìn)行斷電保護(hù)的交

4、流不間斷電源 ups (uninterruptle power supply) ;針對(duì)交流異步電動(dòng)機(jī)變頻調(diào)速控制的變頻調(diào)速器;針對(duì)智能樓宇消防與安防的應(yīng)急電源 eps ( emergence power supply) ;針對(duì)船舶工業(yè)用電的岸電電源 sps(shore power supply) ;還有針對(duì)風(fēng)力發(fā)電、太陽能發(fā)電等而開發(fā)的特種逆變電源等等.隨著控制理論的發(fā)展與電力電子器件的不斷革新 ,特別是以絕緣柵極雙極型晶體管 igbt( insulated gate bipolar transistor)為代表的自關(guān)斷可控型功率半導(dǎo)體器件出現(xiàn) ,大大簡(jiǎn)化了正弦逆變電源的換相問題 ,為各種 p

5、wm 型逆變控制技術(shù)的實(shí)現(xiàn)提供了新的實(shí)現(xiàn)方法 ,從而進(jìn)一步簡(jiǎn)化了正弦逆變系統(tǒng)的結(jié)構(gòu)與控制.電力電子器件的發(fā)展經(jīng)歷了晶閘管(scr)、可關(guān)斷晶閘管(gto)、晶體管(bjt)、絕緣柵晶體管(igbt)等階段.目前正向著大容量、高頻率、易驅(qū)動(dòng)、低損耗、模塊化、復(fù)合化方向發(fā)展,與其他電力電子器件相比,igbt具有高可靠性、驅(qū)動(dòng)簡(jiǎn)單、保護(hù)容易、不用緩沖電路和開關(guān)頻率高等特點(diǎn),為了達(dá)到這些高性能,采用了許多用于集成電路的工藝技術(shù),如外延技術(shù)、離子注入、精細(xì)光刻等。 igbt最大的優(yōu)點(diǎn)是無論在導(dǎo)通狀態(tài)還是短路狀態(tài)都可以承受電流沖擊。它的并聯(lián)不成問題,由于本身的關(guān)斷延遲很短,其串聯(lián)也容易.盡管igbt模塊在

6、大功率應(yīng)用中非常廣泛,但其有限的負(fù)載循環(huán)次數(shù)使其可靠性成了問題,其主要失效機(jī)理是陰極引線焊點(diǎn)開路和焊點(diǎn)較低的疲勞強(qiáng)度,另外,絕緣材料的缺陷也是一個(gè)問題。二、三相橋式spwm逆變器的設(shè)計(jì)內(nèi)容及要求1設(shè)計(jì)內(nèi)容1.1、理論設(shè)計(jì)掌握三相橋式pwm逆變電路的工作原理,設(shè)計(jì)三相橋式pwm逆變電路的主電路和控制電路。包括igbt額定電流、額定電壓的選擇,驅(qū)動(dòng)和保護(hù)電路的設(shè)計(jì),畫出完整的主電路原理圖和控制電路原理圖,列出主電路所用元器件的明細(xì)表。1。2、仿真實(shí)驗(yàn)利用matlab仿真軟件對(duì)三相橋式pwm逆變電路主電路和控制電路進(jìn)行建模并仿真。1。3、實(shí)際制作利用protel軟件繪出原理圖,結(jié)合具體所用元器件管腳

7、數(shù)、外形尺寸、考慮散熱和抗干擾等因素、設(shè)計(jì)pcb印刷電路板。最后完成系統(tǒng)電路的組裝、調(diào)試。2、設(shè)計(jì)要求對(duì)三相橋式spwm逆變電路的主電路及控制電路進(jìn)行設(shè)計(jì)。分兩組參數(shù),每組參數(shù)要求如下:直流電壓為150v,三相阻感負(fù)載,負(fù)載中r=2,l=1mh,要求輸出頻率范圍10hz100hz.三、spwm逆變器的工作原理由于期望的逆變器輸出是一個(gè)正弦電壓波形,可以把一個(gè)正弦半波分作n等分.然后把每一等分的正弦曲線與橫軸所包圍的面積都用個(gè)與此面積相等的等高矩形脈沖來代替,矩形脈沖的中點(diǎn)與正弦波每一等分的中點(diǎn)重合。這樣,由n個(gè)等幅不等寬的矩形脈沖所組成的波形為正弦的半周等效.同樣,正弦波的負(fù)半周也可用相同的方

8、法來等效。 這一系列脈沖波形就是所期望的逆變器輸出spwm波形.由于各脈沖的幅值相等,所以逆變器可由恒定的直流電源供電,也就是說,這種交一直一交變頻器中的整流器采用不可控的二極管整流器就可以了(見圖1、圖2、圖3 ).逆變器輸出脈沖的幅值就是整流器的輸出電壓。當(dāng)逆變器各開關(guān)器件都是在理想狀態(tài)下工作時(shí),驅(qū)動(dòng)相應(yīng)開關(guān)器件的信號(hào)也應(yīng)為與形狀相似的一系列脈沖波形,這是很容易推斷出來的。從理論上講,這一系列脈沖波形的寬度可以嚴(yán)格地用計(jì)算方法求得,作為控制逆變器中各開關(guān)器件通斷的依據(jù)。但較為實(shí)用的辦法是引用通信技術(shù)中的“調(diào)制這一概念,以所期望的波形(在這里是正弦波)作為調(diào)制波(modulationwave

9、 ),而受它調(diào)制的信號(hào)稱為載波(carrier wave )。在spwm中常用等腰三角波作為載波,因?yàn)榈妊遣ㄊ巧舷聦挾染€性對(duì)稱變化的波形,當(dāng)它與任何一個(gè)光滑的曲線相交時(shí),在交點(diǎn)的時(shí)刻控制開關(guān)器件的通斷,即可得到一組等幅而脈沖寬度正比于該曲線函數(shù)值的矩形脈沖,這正是spwm所需要的結(jié)果。 圖1可控整流器調(diào)壓、六拍逆變器變頻 圖2不控整流、斬波器調(diào)壓、六拍逆變器變頻 圖3不控整流、pwm逆變器調(diào)壓調(diào)頻1、工作原理圖4是spwm變頻器的主電路,圖中vtlvt6是逆變器的六個(gè)功率開關(guān)器件(在這里畫的是igbt),各由一個(gè)續(xù)流二極管反并聯(lián),整個(gè)逆變器由恒值直流電壓u供電。圖5是它的控制電路,一組三相

10、對(duì)稱的正弦參考電壓信號(hào),由參考信號(hào)發(fā)生器提供,其頻率決定逆變器輸出的基波頻率,應(yīng)在所要求的輸出頻率范圍內(nèi)可調(diào)。參考信號(hào)的幅值也可在一定范圍內(nèi)變化,決定輸出電壓的大小。三角載波信號(hào)是共用的,分別與每相參考電壓比較后,給出“正或“零”的飽和輸出,產(chǎn)生spwm脈沖序列波 ,作為逆變器功率開關(guān)器件的驅(qū)動(dòng)控制信號(hào). 圖4 matlab仿真主電路當(dāng)時(shí),給v1導(dǎo)通信號(hào),給v4關(guān)斷信號(hào),=.當(dāng)時(shí),給v4導(dǎo)通信號(hào),給v1關(guān)斷信號(hào),=.當(dāng)給v1(v4)加導(dǎo)通信號(hào)時(shí),可能是v1(v4)導(dǎo)通,也可能是vd1(vd4)導(dǎo)通。、和的pwm波形只有兩種電平。波形可由,-得出,當(dāng)1和6通時(shí),=,當(dāng)3和4通時(shí),=-,當(dāng)1和3或

11、4和6通時(shí),=0。輸出線電壓pwm波由和0三種電平構(gòu)成。負(fù)載相電壓pwm波由、和0共5種電平組成。防直通的死區(qū)時(shí)間同一相上下兩臂的驅(qū)動(dòng)信號(hào)互補(bǔ),為防止上下臂直通而造成短路,留一小段上下臂都施加關(guān)斷信號(hào)的死區(qū)時(shí)間。死區(qū)時(shí)間的長(zhǎng)短主要由開關(guān)器件的關(guān)斷時(shí)間決定。死區(qū)時(shí)間會(huì)給輸出的pwm波帶來影響,使其稍稍偏離正弦波。圖5 控制電路原理2、控制方式脈寬調(diào)制的控制方式從調(diào)制脈沖的極性上看,可分為單極性和雙極性之分:參加調(diào)制的載波和參考信號(hào)的極性不變,稱為單極性調(diào)制;相反,三角載波信號(hào)和正弦波信號(hào)具有正負(fù)極性,則稱為雙極性調(diào)制。2。1、單極性正弦脈寬調(diào)制單極性正弦脈寬調(diào)制用幅值為的參考信號(hào)波與幅值為,頻率

12、為的三角波比較,產(chǎn)生功率開關(guān)信號(hào)。其原理波形如圖6所示。圖6是用單相正弦波全波整流電壓信號(hào)與單向三角形載波交截,再通過倒相產(chǎn)生功率開關(guān)驅(qū)動(dòng)信號(hào)。參考波頻率fr決定了輸出頻率,每半周期的脈沖數(shù)p決定于載波頻率。即: (1) 用參考電壓信號(hào)的幅值,與三角形載波信號(hào)的幅值的比值,即調(diào)制度m = ur/,來控制輸出電壓變化。當(dāng)調(diào)制度由01變化時(shí),脈寬由0/p變化,輸出電壓由0 e變化。如果每個(gè)脈沖寬度為,則輸出電壓的傅里葉級(jí)數(shù)展開式為: (2) 系數(shù)an和由每個(gè)脈寬為,起始角為的正脈沖來決定和對(duì)應(yīng)的負(fù)脈沖起始角+來決定.如果第j個(gè)脈沖的起始角為則有 (3a) (3b)由式(23a)、式(2-3b)可計(jì)

13、算輸出電壓的傅里葉級(jí)數(shù)的系數(shù) (4a) (4b)圖6 單極性正選脈寬調(diào)制spwm原理波形2.2、雙極性正弦脈寬調(diào)制雙極性正弦脈寬調(diào)制的輸出電壓u0(t)波形在02區(qū)間關(guān)于中心對(duì)稱、在0區(qū)間關(guān)于軸對(duì)稱,其傅里葉級(jí)數(shù)展開式為(5) 式(2-5)中輸出電壓u0(t)可看成是幅值為e,頻率為的方波與幅值為2e、頻率為的負(fù)脈沖序列(起點(diǎn)和終點(diǎn)分別為的疊加。因此 (6)則輸出電壓為 (7)輸出電壓基波分量為 (8)需要注意的是,從主回路上看,對(duì)于雙極性調(diào)制,由于同一橋臂上的兩個(gè)開關(guān)元件始終輪流交替通斷,因此容易引起電源短路,造成環(huán)流。為防止環(huán)流,就必須增設(shè)延時(shí)觸發(fā)環(huán)節(jié),設(shè)置死區(qū).3、正弦脈寬調(diào)制的調(diào)制算法

14、三角波變化一個(gè)周期,它與正弦波有兩個(gè)交點(diǎn),控制逆變器中開關(guān)元件導(dǎo)通和關(guān)斷各一次.要準(zhǔn)確的生成spwm波形,就要精確的計(jì)算出這兩個(gè)點(diǎn)的時(shí)間。開關(guān)元件導(dǎo)通時(shí)間是脈沖寬度,關(guān)斷時(shí)間是脈沖間隙。正弦波的頻率和幅值不同時(shí),這些時(shí)間也不同,但對(duì)計(jì)算機(jī)來說,時(shí)間由軟件實(shí)現(xiàn),時(shí)間的控制由定時(shí)器完成,是很方便的,關(guān)鍵在于調(diào)制算法。調(diào)制算法主要有自然采樣法、規(guī)則采樣法、等面積法等。3。1。自然采樣法 按照spwm控制的基本原理,在正弦波和三角波的交點(diǎn)時(shí)刻生成的pwm波的方法,其求解復(fù)雜,工程應(yīng)用不多。如圖7所示圖7 自然采樣法原理圖3。2。規(guī)則采樣法三角波兩個(gè)正峰值之間為一個(gè)采樣周期。自然采樣法中,脈沖中點(diǎn)不和三

15、角波(負(fù)峰點(diǎn))重合。規(guī)則采樣法使兩者重合,使計(jì)算大為簡(jiǎn)化。如圖所示確定a、b點(diǎn),在和時(shí)刻控制開關(guān)器件的通斷。脈沖寬度d 和用自然采樣法得到的脈沖寬度非常接近。 圖8規(guī)則采樣原理正弦調(diào)制信號(hào)波為 (9)脈沖寬度 (10) 三角波一周期內(nèi),脈沖兩邊間隙寬度 (11)三角波載波公用,三相正弦調(diào)制波相位依次差120,同一三角波周期內(nèi)三相的脈寬分別為、和,脈沖兩邊的間隙寬度分別為、和,同一時(shí)刻三相調(diào)制波電壓之和為零。 (12) (13)利用以上兩式可簡(jiǎn)化三相spwm波的計(jì)算4、 matlab仿真分析1、直流電壓為150v頻率為30hz時(shí)的實(shí)驗(yàn):將控制電路中的三相正弦波函數(shù)發(fā)生器sine wave的頻率調(diào)

16、為30hz,即在頻率參數(shù)欄中輸入60pi,則系統(tǒng)輸出頻率也應(yīng)為30hz。直流電壓源均調(diào)為75v.仿真運(yùn)行系統(tǒng),顯示如圖16所示。圖16 電壓為150v頻率為30hz仿真模型從圖16可以看出,系統(tǒng)輸出正弦波周期為0.033s左右,即頻率約為30hz.第一路波為調(diào)制波,接著三路波形分別為、和,第五路為輸出uv線電壓,第六路為輸出u相電壓??梢钥闯?、和的pwm波形只有75v兩種電平,輸出uv線電壓的pwm波形由150v和0v構(gòu)成,輸出u相電壓的pwm波由100v,50v,0v共五種電平組成。2、直流電壓為150v頻率為50hz時(shí)的實(shí)驗(yàn):將控制電路中的三相正弦波函數(shù)發(fā)生器sine wave的頻率調(diào)為5

17、0hz,即在頻率參數(shù)欄中輸入100*pi,則系統(tǒng)輸出頻率也應(yīng)為50hz.直流電壓源均調(diào)為75v。仿真運(yùn)行系統(tǒng),顯示如圖17所示。圖17 電壓為150v頻率為50hz仿真模型從圖17可以看出,系統(tǒng)輸出正弦波周期為0。02s左右,即頻率約為50hz。第一路波為調(diào)制波,接著三路波形分別為、和,第五路為輸出uv線電壓,第六路為輸出u相電壓。可以看出、和的pwm波形只有75v兩種電平,輸出uv線電壓的pwm波形由150v和0v構(gòu)成,輸出u相電壓的pwm波由100v,50v,0v共五種電平組成.3、直流電壓為150v頻率為100hz時(shí)的實(shí)驗(yàn):將控制電路中的三相正弦波函數(shù)發(fā)生器sine wave的頻率調(diào)為1

18、00hz,即在頻率參數(shù)欄中輸入200*pi,則系統(tǒng)輸出頻率也應(yīng)為100hz。直流電壓源均調(diào)為75v。仿真運(yùn)行系統(tǒng),顯示如圖19所示.圖19 電壓為150v頻率為100hz仿真模型從圖19可以看出,系統(tǒng)輸出正弦波周期為0.01s,即頻率約為100hz。第一路波為調(diào)制波,接著三路波形分別為、和,第五路為輸出uv線電壓,第六路為輸出u相電壓??梢钥闯?、和的pwm波形只有75v兩種電平,輸出uv線電壓的pwm波形由150v和0v構(gòu)成,輸出u相電壓的pwm波由100v,50v,0v共五種電平組成.五、電路設(shè)計(jì)1、主電路設(shè)計(jì)1.1、主電路原理圖如下: 圖9主電路原理圖1。2、原理分析由于期望的逆變器輸出是

19、一個(gè)正弦電壓波形,可以把一個(gè)正弦半波分作n等分。然后把每一等分的正弦曲線與橫軸所包圍的面積都用個(gè)與此面積相等的等高矩形脈沖來代替,矩形脈沖的中點(diǎn)與正弦波每一等分的中點(diǎn)重合。這樣,由n個(gè)等幅不等寬的矩形脈沖所組成的波形為正弦的半周等效.同樣,正弦波的負(fù)半周也可用相同的方法來等效。 這一系列脈沖波形就是所期望的逆變器輸出spwm波形。由于各脈沖的幅值相等,所以逆變器可由恒定的直流電源供電,也就是說,這種交一直一交變頻器中的整流器采用不可控的二極管整流器就可以了。逆變器輸出脈沖的幅值就是整流器的輸出電壓。當(dāng)逆變器各開關(guān)器件都是在理想狀態(tài)下工作時(shí),驅(qū)動(dòng)相應(yīng)開關(guān)器件的信號(hào)也應(yīng)為與形狀相似的一系列脈沖波形

20、,這是很容易推斷出來的。從理論上講,這一系列脈沖波形的寬度可以嚴(yán)格地用計(jì)算方法求得,作為控制逆變器中各開關(guān)器件通斷的依據(jù).但較為實(shí)用的辦法是引用通信技術(shù)中的“調(diào)制這一概念,以所期望的波形(在這里是正弦波)作為調(diào)制波(modulation wave ),而受它調(diào)制的信號(hào)稱為載波(carrier wave ).在spwm中常用等腰三角波作為載波,因?yàn)榈妊遣ㄊ巧舷聦挾染€性對(duì)稱變化的波形,當(dāng)它與任何一個(gè)光滑的曲線相交時(shí),在交點(diǎn)的時(shí)刻控制開關(guān)器件的通斷,即可得到一組等幅而脈沖寬度正比于該曲線函數(shù)值的矩形脈沖,這正是spwm所需要的結(jié)果。1.3、器件的選型1.3。1、整流二極管的選擇1。3。1。1、確

21、定二極管電壓額定值整流二極管的耐壓值由下式確定: (14)其中,為整流橋輸入電壓額定值,乘為輸入電壓峰值;為電壓波形系數(shù),1;a為安全系數(shù)。467v。二極管耐壓參數(shù)分為800v,1600v等幾檔,所以選擇800v。1。3。1。2、確定二極管電流額定值整流二極管的額定電流由下式確定: (15)其中根據(jù)電路的功率和過載因素計(jì)算, (16)計(jì)算得=58a。1.3.2、開關(guān)器件igbt 的選擇。1.3。2。1、三相全橋逆變電路開關(guān)管關(guān)斷時(shí)的功率器件承受的峰值電壓為: (17)式中,為 igbt 的 c、e 兩端承受的電壓,取 150v;1。15 是電壓保護(hù)系數(shù);150v為引起的尖峰電壓;a為安全系數(shù),

22、通常取1.1;得到=355v。實(shí)際應(yīng)用中必然取,參考igbt的實(shí)際電壓等級(jí),取=600v.1。3。2。2、求電流,顯然有: (18)p 是逆變器額定輸出, 是逆變器輸出電壓 380v,又有 (19) 為 io 峰值;1.5 是 1 分鐘內(nèi)允許的過載流量;1。4 是電流 減小系數(shù)。=45。1a,根據(jù)igbt的等級(jí), 取50a。根據(jù)計(jì)算參數(shù)和實(shí)際器件型號(hào),選用irgps60b120kd型號(hào)igbt器件,該器件支持最大電壓為 1200v,最大電流為 50a,一個(gè)封裝內(nèi)包含 2 個(gè) igbt模塊。能夠滿足后級(jí)三項(xiàng)逆變電路工作條件.2.控制電路設(shè)計(jì)2。1、電路原理框圖 圖10 控制電路原理框圖2.2、電

23、路原理圖 圖11 控制電路原理圖 2。3、原理分析據(jù)自然采樣法,三個(gè)互差120o的正弦波與高頻三角載波進(jìn)行比較,每路結(jié)果再經(jīng)反相器產(chǎn)生與原信號(hào)相反的控制波,分別控制上下橋臂igbt的導(dǎo)通與關(guān)斷。這樣產(chǎn)生的六路spwm波分別控制六個(gè)igbt的通斷,從而在負(fù)載端產(chǎn)生與調(diào)制波同頻的三相交流電。原理圖中的三角載波用s函數(shù)產(chǎn)生。2.4、主要器件介紹美國(guó) ir 公司生產(chǎn)的ir2110 驅(qū)動(dòng)器。它兼有光耦隔離(體積小)和電磁隔離(速度快)的優(yōu)點(diǎn),是中小功率變換裝置中驅(qū)動(dòng)器件的首選品種.ir2110 采用hvic 和閂鎖抗干擾cmos 制造工藝,dip14 腳封裝.具有獨(dú)立的低端和高端輸入通道;懸浮電源采用自

24、舉電路,其高端工作電壓可達(dá)500v,dv/dt=50v/ns,15v 下靜態(tài)功耗僅116mw;輸出的電源端(腳3,即功率器件的柵極驅(qū)動(dòng)電壓)電壓范圍1020v;邏輯電源電壓范圍(腳9)515v,可方便地與ttl,cmos 電平相匹配,而且邏輯電源地和功率地之間允許有5v 的偏移量;工作頻率高,可達(dá)500khz;開通、關(guān)斷延遲小,分別為120ns 和94ns;圖騰柱輸出峰值電流為2a。3、保護(hù)電路設(shè)計(jì)3。1保護(hù)電路的作用 電力電子電路中設(shè)置保護(hù)電路主要是防止電路中電力電子器件的損毀。3.2電路原理圖圖12 過流保護(hù)電路圖13 過電壓保護(hù)電路3.3原理分析 電力電子電路運(yùn)行不正?;蛘甙l(fā)生故障時(shí),可

25、能會(huì)發(fā)生過電流。過電流分為過載和短路兩種情況.通常采用的保護(hù)措施有:快速熔斷器、直流快速斷路器和過電流繼電器.一般電力電子裝置均同時(shí)采用集中過流保護(hù)措施,以提高保護(hù)的可靠性和合理性。綜合本次設(shè)計(jì)電路的特點(diǎn),采用快速熔斷器,即給晶閘管串聯(lián)一個(gè)保險(xiǎn)絲實(shí)施電流保護(hù)。如圖12電流保護(hù)電路所示.對(duì)于所選的保險(xiǎn)絲,遵從值小于晶閘管的允許值。 電力電子裝置中可能發(fā)生的過電壓分為外因過電壓和內(nèi)因過電壓兩類。外因過電壓主要來自雷擊和系統(tǒng)中的操作過程等外部原因。本設(shè)計(jì)主要用于室內(nèi),為了使用方便不考慮來自雷擊的威脅.根據(jù)以上產(chǎn)生過電壓的的各種原因,設(shè)計(jì)相應(yīng)的保護(hù)電路。如圖5過電壓保護(hù)電路所示.其中:圖中是利用一個(gè)電

26、阻加電容進(jìn)行電壓抑制,當(dāng)電壓過高時(shí),保護(hù)電路中的電容會(huì)阻礙其電壓的上升,從而防止電子器件igbt管因電壓的過高厄爾損壞。圖13中的電阻可以是1k左右的電阻,而電容的值可以為100f左右,這樣形成一個(gè)保護(hù)電路。4、igbt驅(qū)動(dòng)保護(hù)電路 本設(shè)計(jì)采用日本富士公司的exb841(日本富士公司的exb系列混合集成電路是生產(chǎn)的igbt專用驅(qū)動(dòng)芯片)高速集成芯片去驅(qū)動(dòng)。它本身具有驅(qū)動(dòng)電流放大能力,同時(shí)具有使控制電路和igbt所在主電路間實(shí)現(xiàn)電流隔離的功能,還具有過電流保護(hù)功能。驅(qū)動(dòng)芯片exb841的控制原理exb841的驅(qū)動(dòng)主要有三個(gè)工作過程:正常開通過程、正常關(guān)斷過程和過流保護(hù)動(dòng)作過程。14和15兩腳間外

27、加pwm控制信號(hào),當(dāng)觸發(fā)脈沖信號(hào)施加于14和15引腳時(shí),在ge兩端產(chǎn)生約16v的igbt開通電壓;當(dāng)觸發(fā)控制脈沖撤銷時(shí),在ge兩端產(chǎn)生5。1v的igbt關(guān)斷電壓。過流保護(hù)動(dòng)作過程是根據(jù)igbt的ce極間電壓的大小判定是否過流而進(jìn)行保護(hù)的,由二極管vd7檢測(cè).當(dāng)igbt開通時(shí),若發(fā)生負(fù)載短路等發(fā)生大電流的故障,會(huì)上升很多,使得vd7截止,exb841的6腳“懸空”,b點(diǎn)和c點(diǎn)電位開始由約6v上升,當(dāng)上升至13v時(shí),vz1被擊穿,v3導(dǎo)通,c4通過r7和v3放電,e點(diǎn)的電壓逐漸下降,v6導(dǎo)通,從而使igbt的ge間電壓下降,實(shí)現(xiàn)軟關(guān)斷,完成exb841對(duì)igbt的保護(hù)。射極電位為5.1v,由exb841內(nèi)部的穩(wěn)壓二極管vz2決定.其典型接線圖如下:圖14 m57962l型igbt驅(qū)動(dòng)器的原理與接線圖圖15 單個(gè)igbt驅(qū)動(dòng)保護(hù)電路原理圖六、心得體會(huì)這次課程設(shè)計(jì)歷時(shí)一個(gè)月,通過這段時(shí)間的學(xué)習(xí),發(fā)現(xiàn)了自己的很多不足,自己知識(shí)的很多漏洞,看到了自己的實(shí)踐經(jīng)驗(yàn)還是比較缺乏,理論聯(lián)系實(shí)際的能力還急需提高。課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問題鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論