基于單片機(jī)的數(shù)字電壓表(05V)設(shè)計(jì)課程設(shè)計(jì)_第1頁(yè)
基于單片機(jī)的數(shù)字電壓表(05V)設(shè)計(jì)課程設(shè)計(jì)_第2頁(yè)
基于單片機(jī)的數(shù)字電壓表(05V)設(shè)計(jì)課程設(shè)計(jì)_第3頁(yè)
基于單片機(jī)的數(shù)字電壓表(05V)設(shè)計(jì)課程設(shè)計(jì)_第4頁(yè)
基于單片機(jī)的數(shù)字電壓表(05V)設(shè)計(jì)課程設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、摘 要 本文介紹一種基于 89c51 單片機(jī)的一種電壓測(cè)量電路,雙積分 a/d 轉(zhuǎn)換電路, 測(cè)量范圍直流 0-5 伏,使用 lcd 模塊顯示電壓值。正文著重給出了軟硬件系統(tǒng)的 各部分電路,介紹了雙積分電路的原理,89c51 的特點(diǎn)及應(yīng)用。該電路設(shè)計(jì)新穎、 功能強(qiáng)大、可擴(kuò)展性強(qiáng)。 關(guān)鍵詞: 單片機(jī)、雙積分電路、89c51、74ls161、adc0808 1 唐山學(xué)院課程設(shè)計(jì) 1 1 系統(tǒng)總體設(shè)計(jì)及方案 1.1 設(shè)計(jì)題目、內(nèi)容、要求 設(shè)計(jì)題目:簡(jiǎn)易數(shù)字電壓表的設(shè)計(jì)。 設(shè)計(jì)內(nèi)容: 1可以測(cè)量 05v 范圍內(nèi)的 8 路直流電壓值。 2在 4 位 led 數(shù)碼管上輪流顯示各路電壓值或單路選擇顯示,其中

2、3 位 led 數(shù)碼管顯示電壓值,顯示范圍為 0.00v5.00v,1 位 led 數(shù)碼管顯示路數(shù),8 路用數(shù)字表示分別為 0-7。 3測(cè)量最小分辨率為 0.02v。 設(shè)計(jì)要求: 1進(jìn)行系統(tǒng)總體設(shè)計(jì)。 2完成系統(tǒng)硬件電路設(shè)計(jì)。 3完成系統(tǒng)軟件設(shè)計(jì)。 4撰寫(xiě)設(shè)計(jì)說(shuō)明書(shū)。 1.2 概述 數(shù)字電壓表(digital voltmeter)簡(jiǎn)稱 dvm,它是采用數(shù)字化測(cè)量技術(shù),把連 續(xù)的模擬量(直流輸入電壓)轉(zhuǎn)換成不連續(xù)、離散的數(shù)字形式并加以顯示的儀表。 傳統(tǒng)的指針式電壓表功能單一、精度低,不能滿足數(shù)字化時(shí)代的需求,采用單片 機(jī)的數(shù)字電壓表,由精度高、抗干擾能力強(qiáng),可擴(kuò)展性強(qiáng)、集成方便,還可與 pc 進(jìn)

3、行實(shí)時(shí)通信。目前,由各種單片 a/d 轉(zhuǎn)換器構(gòu)成的數(shù)字電壓表,已被廣泛用于 電子及電工測(cè)量、工業(yè)自動(dòng)化儀表、自動(dòng)測(cè)試系統(tǒng)等智能化測(cè)量領(lǐng)域,示出強(qiáng)大 的生命力。與此同時(shí),由 dvm 擴(kuò)展而成的各種通用及專用數(shù)字儀器儀表,也把電 量及非電量測(cè)量技術(shù)提高到嶄新水平。 1.3 系統(tǒng)原理及基本框圖 輸入電路 a/d 轉(zhuǎn)換 89c51 單片機(jī) led 顯示 圖 1-1 系統(tǒng)基本流程圖 唐山學(xué)院課程設(shè)計(jì) 2 如圖 1-1 所示,模擬電壓經(jīng)過(guò)滑動(dòng)變阻器切換到不同的分壓電路后,送到 a/d 轉(zhuǎn)換器進(jìn)行 a/d 轉(zhuǎn)換,然后送到單片機(jī)中進(jìn)行數(shù)據(jù)處理。處理后的數(shù)據(jù),通過(guò) p0 口傳輸送到 led 中顯示。 1.4 方

4、案說(shuō)明 系統(tǒng)首先通過(guò)按鍵逐路選擇八路通道中的一路或是循環(huán)顯示,將該路某一路 電壓送入 adc0808 相應(yīng)通道,單片機(jī)軟件設(shè)置 adc0808 開(kāi)始 a/d 轉(zhuǎn)換,轉(zhuǎn)換結(jié) 束 adc0808 的 eoc 端口產(chǎn)生高電平,同時(shí)將 adc0808 的 eo 端口置為高電平, 單片機(jī)將轉(zhuǎn)換后結(jié)果存如片內(nèi) ram。系統(tǒng)調(diào)出計(jì)算子程序,將保存結(jié)果轉(zhuǎn)化為 0.00-5.00v 分別保存在片內(nèi) ram;系統(tǒng)調(diào)用顯示子程序,將轉(zhuǎn)化后數(shù)據(jù)查表,輸出 到 led 顯示電路,將相應(yīng)電壓顯示出來(lái),程序進(jìn)入下一個(gè)循環(huán)。 1.5 方案論證 1.5.1 顯示部分 系統(tǒng)通過(guò)對(duì) led 燈的動(dòng)態(tài)顯示及不停的輪流給數(shù)碼管位選端加

5、驅(qū)動(dòng)電壓,及 在給其中一個(gè)數(shù)碼管位選段加驅(qū)動(dòng)電壓的時(shí)候它才能變亮,而其他的是暗的,由 于數(shù)碼管暗下來(lái)需要一定的時(shí)間,人眼具有視覺(jué)暫留特點(diǎn),同時(shí)系統(tǒng)又給其它的 施加驅(qū)動(dòng)電壓,所以我們看到的就是穩(wěn)定的亮著的數(shù)字了。 1.5.2 a/d 轉(zhuǎn)換部分 通過(guò) a/d 轉(zhuǎn)換器將輸入的模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),然后進(jìn)行處理。為了達(dá) 到這一目的,使用調(diào)試簡(jiǎn)單,能與微處理機(jī)或其他數(shù)字系統(tǒng)兼容的 a/d 轉(zhuǎn)換器 0808 芯片。 adc0808 是采樣分辨率為 8 位的、以逐次逼近原理進(jìn)行模/數(shù)轉(zhuǎn)換的器件。其 內(nèi)部有一個(gè) 8 通道多路開(kāi)關(guān),它可以根據(jù)地址碼鎖存譯碼后的信號(hào),只選通 8 路 模擬輸入信號(hào)中的一個(gè)進(jìn)行 a

6、/d 轉(zhuǎn)換。adc0808 是 adc0809 的簡(jiǎn)化版本,功能 基本相同。一般在硬件仿真時(shí)采用 adc0808 進(jìn)行 a/d 轉(zhuǎn)換,實(shí)際使用時(shí)采用 adc0809 進(jìn)行 a/d 轉(zhuǎn)換。 唐山學(xué)院課程設(shè)計(jì) 3 2 電路設(shè)計(jì) 2.1 輸入電路 輸入電路的作用是把不同量程的被測(cè)的電壓規(guī)范到 a/d 轉(zhuǎn)換器所要求的電壓 值。本電路設(shè)計(jì)所用電壓為 0-5v,其大小通過(guò)滑動(dòng)變阻器調(diào)節(jié)。 2.2 a/d 轉(zhuǎn)換電路 a/d 轉(zhuǎn)換器的轉(zhuǎn)換精度對(duì)測(cè)量電路極其重要,它的參數(shù)關(guān)系到測(cè)量電路性能。 本設(shè)計(jì)采用雙積 a/d 轉(zhuǎn)換器,它的性能比較穩(wěn)定,轉(zhuǎn)換精度高,具有很高的抗干 擾能力,電路結(jié)構(gòu)簡(jiǎn)單,其缺點(diǎn)是工作速度較低

7、。在對(duì)轉(zhuǎn)換精度要求較高,而對(duì) 轉(zhuǎn)換速度要求不高的場(chǎng)合如電壓測(cè)量有廣泛的應(yīng)用。 2.3 雙積 a/d 轉(zhuǎn)換器的工作原理 如圖 2-1 所示:對(duì)輸入模擬電壓和基準(zhǔn)電壓進(jìn)行兩次積分,先對(duì)輸入模擬電壓 進(jìn)行積分,將其變換成與輸入模擬電壓成正比的時(shí)間間隔 t1,再利用計(jì)數(shù)器測(cè)出。 圖 2-2 雙積 a/d 轉(zhuǎn)換器的波形圖 圖 2-1 雙積分 a/d 轉(zhuǎn)換器工作原理圖 唐山學(xué)院課程設(shè)計(jì) 4 此時(shí)間間隔,則計(jì)數(shù)器所計(jì)的數(shù)字量就正比于輸入的模擬電壓;接著對(duì)基準(zhǔn) 電壓進(jìn)行同樣的處理。在常用的 a/d 轉(zhuǎn)換芯片(如 adc - 0809、icl7135、icl7109 等)中,icl7135 與其余幾種有所不同,

8、它是一種四位 半的雙積分 a/d 轉(zhuǎn)換器, 具有精度高(精度相當(dāng)于 14 位二進(jìn)制數(shù)) 、價(jià)格低廉、抗干擾能力強(qiáng)等優(yōu)點(diǎn)。 2.4 a/dc0808 的轉(zhuǎn)換流程圖 其軟件中實(shí)現(xiàn)其數(shù)字量電壓轉(zhuǎn)換為三位模擬量電壓的部分程序如下: mov a,#0ffh mov p1,a mov a,p1;讀取 ad 轉(zhuǎn)換結(jié)果 clr p2.7 mov b,#51;ad 轉(zhuǎn)換結(jié)果轉(zhuǎn)換成 bcd 碼 div ab mov r1,a;a 中為電壓數(shù)值第一位,存放在 r1 中 mov a,b mov b,#2 mul ab mov b,#10 div ab;a 中存放電壓數(shù)值第二位,并存放入 r2 中 mov r2,a m

9、ov r3,b;余數(shù) b 中存放電壓數(shù)值第三位 2.4 液晶顯示部分 數(shù)字量電壓值輸入 89c51 啟動(dòng) adc0808 等待轉(zhuǎn)換是否結(jié)束 將結(jié)果轉(zhuǎn)換成 bcd 碼并輸出 圖 2-3 a/dc0808 的轉(zhuǎn)換流程圖 圖 2-3 a/dc0808 的轉(zhuǎn)換電路圖 唐山學(xué)院課程設(shè)計(jì) 5 顯示接口用來(lái)顯示系統(tǒng)的狀態(tài),命令或采集的電壓數(shù)據(jù)。本系統(tǒng)顯示部分用 的是 lcd 液晶模塊,采用一個(gè) 161 的字符型液晶顯示模塊, 點(diǎn)陣圖形式液晶 由 m 行n 列個(gè)顯示單元組成,假設(shè) lcd 顯示屏有 64 行,每行有 128 列,每 8 列對(duì)應(yīng) 1 個(gè)字節(jié)的 8 個(gè)位,即每行由 16 字節(jié),共 168=128

10、個(gè)點(diǎn)組成,屏 上 6416 個(gè)顯示單元和顯示 ram 區(qū) 1024 個(gè)字節(jié)相對(duì)應(yīng),每一字節(jié)的內(nèi)容和屏 上相應(yīng)位置的亮暗對(duì)應(yīng)。一個(gè)字符由 68 或 88 點(diǎn)陣組成,即要找到和屏上某 幾個(gè)位置對(duì)應(yīng)的顯示 ram 區(qū)的 8 個(gè)字節(jié),并且要使每個(gè)字節(jié)的不同的位為1 , 其它的為0 ,為1的點(diǎn)亮,為0的點(diǎn)暗,這樣一來(lái)就組成某個(gè)字符。但 對(duì)于內(nèi)帶字符發(fā)生器的控制器來(lái)說(shuō),顯示字符就比較簡(jiǎn)單了,可讓控制器工作在 文本方式,根據(jù)在 lcd 上開(kāi)始顯示的行列號(hào)及每行的列數(shù)找出顯示 ram 對(duì)應(yīng)的 地址,設(shè)立光標(biāo),在此送上該字符對(duì)應(yīng)的代碼即可。 2.5 設(shè)計(jì)調(diào)試及性能分析 2.5.1 調(diào)試與測(cè)試 采用 keil u

11、vision2 編譯器進(jìn)行源程序編譯及仿真調(diào)試,同時(shí)進(jìn)行硬件電路板 的設(shè)計(jì)制作,燒好程序后進(jìn)行軟硬件聯(lián)調(diào),最后進(jìn)行端口電壓的對(duì)比測(cè)試,要求 測(cè)試對(duì)比中標(biāo)準(zhǔn)電壓值采用數(shù)字萬(wàn)用表測(cè)得。 簡(jiǎn)易數(shù)字電壓表與“標(biāo)準(zhǔn)”數(shù)字電壓表測(cè)得的絕對(duì)誤差應(yīng)在 0.02v 以內(nèi)。 2.5.2 性能分析 由于單片機(jī)為 8 位處理器,當(dāng)輸入電壓為 5.00v 時(shí),輸出數(shù)據(jù)值為 255(ffh) ,因此單片機(jī)最大的數(shù)值分辨率為 0.0196v(5/255) 。這就決定了該電 壓表的最大分辨率(精度)只能達(dá)到 0.0196v。測(cè)試時(shí)電壓數(shù)值的變化一般以 0.02 的電壓幅度變化,如要獲得更高的精度要求,應(yīng)采用 12 位、13

12、位的 a/d 轉(zhuǎn)換器。 簡(jiǎn)易電壓表測(cè)得的值基本上均比標(biāo)準(zhǔn)值偏大 0.010.02v。這可以通過(guò)校正 0809 的基準(zhǔn)電壓來(lái)解決,因?yàn)樵撾妷罕碓O(shè)計(jì)時(shí)直接用 7805 的供電電源作為基準(zhǔn)電 壓,電壓可能有偏差。另外可以用軟件編程來(lái)校正測(cè)量值。 adc0808 的直流輸入阻抗 1m,能滿足一般的電壓測(cè)試需要。另外,經(jīng)測(cè) 試 adc0808 可直接在 2mhz 的頻率下工作,這樣可省去分頻器 14024。 2.5.2 程序的編寫(xiě)及電路的實(shí)現(xiàn) 在本次課設(shè)中使用 isis 6 professional 軟件進(jìn)行對(duì)電路進(jìn)行繪制、模擬及仿真, 使用 keilc51 軟件編寫(xiě)單片機(jī) 89c51 的程序,以下將對(duì)

13、 sis 6 professional 軟件及 keilc51 軟件進(jìn)行介紹。 唐山學(xué)院課程設(shè)計(jì) 6 3 芯片及軟件介紹 3.1 adc0808 3.1.1 引腳功能(外部特性) adc0808 芯片有 28 條引腳,采用雙列直插式封裝,如右圖所示。各引腳功能 如下: 15,2628(in0in7):8 路模擬量輸入端。 8,14,15,1721:8 位數(shù)字量輸出端。 22(ale):地址鎖存允許信號(hào),輸入,高電平有效。 6(start): ad 轉(zhuǎn)換啟動(dòng)脈沖輸入端,輸入一個(gè)正脈沖(至少 100ns 寬) 使其啟動(dòng)(脈沖上升沿使 0809 復(fù)位,下降沿啟動(dòng) a/d 轉(zhuǎn)換) 。 7(eoc):

14、ad 轉(zhuǎn)換結(jié)束信號(hào),輸出,當(dāng) ad 轉(zhuǎn)換結(jié)束時(shí),此端輸出一 個(gè)高電平(轉(zhuǎn)換期間一直為低電平) 。 9(oe):數(shù)據(jù)輸出允許信號(hào),輸入,高電平有效。當(dāng) ad 轉(zhuǎn)換結(jié)束時(shí),此 端輸入一個(gè)高電平,才能打開(kāi)輸出三態(tài)門(mén),輸出數(shù)字量。 10(clk):時(shí)鐘脈沖輸入端。要求時(shí)鐘頻率不高于 640khz。 12(vref(+) ) 、16(vref(-) ):參考電壓輸入端。 11(vcc):主電源輸入端。 13(gnd):地。 2325(adda、addb、addc):3 位地址輸入線,用于選通 8 路模擬輸 入中的一路。 3.1.2 內(nèi)部結(jié)構(gòu) adc0808 是 cmos 單片型逐次逼近式 a/d 轉(zhuǎn)換器

15、,它由 8 路模擬開(kāi)關(guān)、地 址鎖存與譯碼器、比較器、8 位開(kāi)關(guān)樹(shù)型 a/d 轉(zhuǎn)換器、逐次逼近。 極限參數(shù)電源電壓(vcc):6.5v 。 控制端輸入電壓:0.3v15v 。 其它輸入和輸出端電壓:-0.3vvcc+0.3v 。 貯存溫度:65+150 功耗(t=+25):875mw。 引線焊接溫度:氣相焊接(60s):215;紅外焊接(15s):220 抗靜 電強(qiáng)度:400v。 3.2 89c51 唐山學(xué)院課程設(shè)計(jì) 7 單片機(jī)該系列單片機(jī)是采用高性能的靜態(tài) 80c51 設(shè)計(jì)由先進(jìn) cmos 工藝制造 并帶有非易失性 flash 程序存 儲(chǔ)器,全部支持 12 時(shí)鐘和 6 時(shí)鐘操作。 p89c51

16、x2 和 p89c52x2/54x2/58x2 分別包含 128 字節(jié)和 256 字節(jié) ram 32 條 i/o 口線 3 個(gè) 16 位。 定時(shí)/計(jì)數(shù)器 6 輸入 4 優(yōu)先級(jí)嵌套中斷結(jié)構(gòu) 1 個(gè)串行 i/o 口可用于多機(jī)通信 i/o 擴(kuò)展或全雙工 uart。以及片內(nèi)振蕩器和時(shí)鐘電路 89c51 是一種帶 4k 字節(jié)閃爍 可編程可擦除只讀存儲(chǔ)器(fperomfalsh programmable and erasable read only memory)的低電壓,高性能 cmos8 位微處理器,俗稱單片機(jī)。89c2051 是一種 帶 2k 字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只

17、讀存儲(chǔ)器可 以反復(fù)擦除 100 次。該器件采用 atmel 高密度非易失存儲(chǔ)器制造技術(shù)制造,與 工業(yè)標(biāo)準(zhǔn)的 mcs-51 指令集和輸出管腳相兼容。由于將多功能 8 位 cpu 和閃爍存 儲(chǔ)器組合在單個(gè)芯片中,atmel 的 89c51 是一種高效微控制器,89c2051 是它的 一種精簡(jiǎn)版本。89c 單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的 方案。 3.2.1 主要特性 與 mcs-51 兼容 ;4k 字節(jié)可編程閃爍存儲(chǔ)器 ;壽命:1000 寫(xiě)/擦循環(huán) ; 數(shù)據(jù)保留時(shí)間:10 年;全靜態(tài)工作:0hz-24mhz ;三級(jí)程序存儲(chǔ)器鎖定 ;128*8 位內(nèi)部 ram;32 可編程 i/

18、o 線 ;5 個(gè)中斷源,兩個(gè) 16 位定時(shí)器/計(jì)數(shù)器 ;可編 程串行通道;低功耗的閑置和掉電模式 ,片內(nèi)振蕩器和時(shí)鐘電路。 3.2.2 管腳說(shuō)明 vcc:供電電壓。 gnd:接地。 p0 口:p0 口為一個(gè) 8 位漏級(jí)開(kāi)路雙向 i/o 口,每腳可吸收 8ttl 門(mén)電流。當(dāng) p1 口的管腳第一次寫(xiě) 1 時(shí),被定義為高阻輸入。p0 能夠用于外部程序數(shù)據(jù)存儲(chǔ)器, 它可以被定義為數(shù)據(jù)/地址的第八位。在 fiash 編程時(shí),p0 口作為原碼輸入口, 當(dāng) fiash 進(jìn)行校驗(yàn)時(shí),p0 輸出原碼,此時(shí) p0 外部必須被拉高。 p1 口:p1 口是一個(gè)內(nèi)部提供上拉電阻的 8 位雙向 i/o 口,p1 口緩沖器

19、能接收 輸出 4ttl 門(mén)電流。p1 口管腳寫(xiě)入 1 后,被內(nèi)部上拉為高,可用作輸入,p1 口被 外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在 flash 編程和 校驗(yàn)時(shí),p1 口作為第八位地址接收。 p2 口:p2 口為一個(gè)內(nèi)部上拉電阻的 8 位雙向 i/o 口,p2 口緩沖器可接收,輸 出 4 個(gè) ttl 門(mén)電流,當(dāng) p2 口被寫(xiě)“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸 入。并因此作為輸入時(shí),p2 口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上 拉的緣故。p2 口當(dāng)用于外部程序存儲(chǔ)器或 16 位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí), 唐山學(xué)院課程設(shè)計(jì) 8 p2 口輸出地址的高八位

20、。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位 地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),p2 口輸出其特殊功能寄存器的內(nèi)容。p2 口在 flash 編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。 p3 口:p3 口管腳是 8 個(gè)帶內(nèi)部上拉電阻的雙向 i/o 口,可接收輸出 4 個(gè) ttl 門(mén)電流。當(dāng) p3 口寫(xiě)入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入, 由于外部下拉為低電平,p3 口將輸出電流(ill)這是由于上拉的緣故。 p3 口也可作為 at89c51 的一些特殊功能口,如下表所示: p3.0 rxd(串行輸入口) ;p3.1 txd(串行輸出口) ;p3.2 /int0(外部中斷

21、0) ;p3.3 /int1(外部中斷 1) ;p3.4 t0(記時(shí)器 0 外部輸入) ;p3.5 t1(記時(shí)器 1 外部輸入) ;p3.6 /wr(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通) ;p3.7 /rd(外部數(shù)據(jù)存儲(chǔ)器讀選 通) ;p3 口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 rst:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持 rst 腳兩個(gè)機(jī)器周期的高電 平時(shí)間。 ale/prog:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的 地位字節(jié)。在 flash 編程期間,此引腳用于輸入編程脈沖。在平時(shí),ale 端以不 變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的 1/6。因此它可用作對(duì)外部 輸

22、出的脈沖或用于定時(shí)目的。 /psen:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī) 器周期兩次/psen 有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/psen 信號(hào) 將不出現(xiàn)。 /ea/vpp:當(dāng)/ea 保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000h- ffffh) ,不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式 1 時(shí),/ea 將內(nèi)部鎖定為 reset;當(dāng)/ea 端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。xtal1:反向振蕩 放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 xtal2:來(lái)自反向振蕩器的輸出。 3.3 74ls161 161 為可預(yù)置的 4 位二進(jìn)制同步計(jì)數(shù)器,共有 54/7

23、4161 和 54/74ls161 兩種線 路結(jié)。 74ls161 的清除端是異步的。當(dāng)清除端 clear 為低電平時(shí),不管時(shí)鐘端 clock 狀態(tài)如何,即可完成清除功能。74ls16 的預(yù)置是同步的。當(dāng)置入控制器 load 為低電平時(shí),在 clock 上升沿作用下,輸出端 qaqd 與數(shù)據(jù)輸入端 ad 相一致。 161 的計(jì)數(shù)是同步的,靠 clock 同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。 當(dāng) enp、ent 均為高電平時(shí),在 clock 上升沿作用下 qaqd 同時(shí)變化,從而 消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。 3.4 keil c51 軟件介紹 唐山學(xué)院課程設(shè)計(jì) 9 keil c51 集成開(kāi)發(fā)環(huán)

24、境主要由菜單欄、工具欄、源文件編輯窗口、工程窗口和 輸出窗口五部分組成。工具欄為一組快捷工具圖標(biāo),主要包括基本文件工具欄、 建造工具欄和調(diào)試工具欄,基本文件工具欄包括新建、打開(kāi)、拷貝、粘貼等基本 操作。建造工具欄主要包括文件編譯、目標(biāo)文件編譯連接、所有目標(biāo)文件編譯連 接、目標(biāo)選項(xiàng)和一個(gè)目標(biāo)選擇窗口。調(diào)試工具欄位于最后,主要包括一些仿真調(diào) 試源程序的基本操作,如單步、復(fù)位、全速運(yùn)行等。在工具欄下面,默認(rèn)有三個(gè) 窗口。左邊的工程窗口包含一個(gè)工程的目標(biāo)(target) 、組(group)和項(xiàng)目文件。 右邊為源文件編輯窗口,編輯窗口實(shí)質(zhì)上就是一個(gè)文件編輯器,我們可以在這里 對(duì)源文件進(jìn)行編輯、修改、粘貼

25、等。下邊的為輸出窗口,源文件編譯之后的結(jié)果 顯示在輸出窗口中,會(huì)出現(xiàn)通過(guò)或錯(cuò)誤(包括錯(cuò)誤類型及行號(hào))的提示。如果通 過(guò)則會(huì)生成“hex”格式的目標(biāo)文件,用于仿真或燒錄芯片。 mcs-51 單片機(jī)軟件 keil c51 開(kāi)發(fā)過(guò)程為: 1.建立一個(gè)工程項(xiàng)目,選擇芯片,確定選項(xiàng); 2.建立匯編源文件或 c 源文件; 3.用項(xiàng)目管理器生成各種應(yīng)用文件; 4.檢查并修改源文件中的錯(cuò)誤; 5.編譯連接通過(guò)后進(jìn)行軟件模擬仿真或硬件在線仿真; 6.編程操作; 7.應(yīng)用。 3.5 isis 6 professional 軟件介紹 isis 6 professiona 軟件是它不僅具有其它 eda 工具軟件的仿真

26、功能,還能仿 真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。雖然目前 國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛(ài)好者、從事單片機(jī)教學(xué)的教師、致力于單片 機(jī)開(kāi)發(fā)應(yīng)用的科技工作者的青睞。它從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電 路協(xié)同仿真,一鍵切換到 pcb 設(shè)計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目 前將電路仿真軟件、pcb 設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處 理器模型支持 8051、hc11、pic10/12/16/18/24/30/dspic33、avr、arm、8086 和 msp430 等,2010 年即將增加 cortex 和 dsp 系列處理器,并持續(xù)增加其他系

27、列 處理器模型。在編譯方面,它也支持 iar、keil 和 mplab 等多種編譯器。 isis 6 professiona 軟件具有的功能:原理布圖;pcb 自動(dòng)或人工布線;spice 電路仿真。 唐山學(xué)院課程設(shè)計(jì) 10 4 數(shù)字電壓表設(shè)計(jì)電路 4.1 數(shù)字電壓表完整的設(shè)計(jì)電路圖 圖 4-1 數(shù)字電壓表設(shè)計(jì)電路 圖 4-2 數(shù)字電壓表測(cè)試電路 唐山學(xué)院課程設(shè)計(jì) 11 系統(tǒng)工作過(guò)程:首先通過(guò)按鍵或開(kāi)關(guān)選擇要測(cè)量的電壓地址,即幾路電壓, 若通過(guò)按鍵逐路選擇,則要通過(guò)計(jì)數(shù)器 74ls161 記錄按鍵次數(shù),從而對(duì)電壓地址 加一,從而實(shí)現(xiàn)地址的轉(zhuǎn)移,并使 ale=1,將地址存入地址鎖存器中。此地址經(jīng)

28、譯碼選通 8 路模擬輸入之一到比較器。start 上升沿將逐次逼近寄存器復(fù)位。下 降沿啟動(dòng) a/d 轉(zhuǎn)換,之后 eoc 輸出信號(hào)變低,指示轉(zhuǎn)換正在進(jìn)行。直到 a/d 轉(zhuǎn) 換完成,eoc 變?yōu)楦唠娖?,指?a/d 轉(zhuǎn)換結(jié)束,結(jié)果數(shù)據(jù)已存入鎖存器,之后數(shù) 據(jù)經(jīng)過(guò)處理,就可以在數(shù)碼管上顯示系統(tǒng)通過(guò)調(diào)節(jié)滑動(dòng)變阻器可以調(diào)節(jié)測(cè)量電壓, 測(cè)試電路圖 4-2: 4.2 電路的仿真 若通過(guò) isis 6 professional 軟件畫(huà)出改課設(shè)的電路圖,運(yùn)行無(wú)誤,并通過(guò) keil c51 軟件編寫(xiě)程序編譯無(wú)誤并生成“hex”格式的目標(biāo)文件之后,將其加載入單 片機(jī)使其運(yùn)行。具體方法為:右擊工作區(qū)并選中使用的 89

29、c51 單片機(jī),左擊出現(xiàn) “edit component”對(duì)話框,點(diǎn)擊“program file”選項(xiàng)選擇 keil c51 軟件中已經(jīng) 生成的.hex 文件確定。啟動(dòng)軟件,觀察其仿真結(jié)果如圖 4-2 所示。通過(guò)電路中的 自鎖開(kāi)關(guān)閉合實(shí)現(xiàn)電路中電壓的循環(huán)顯示各路的電壓值,通過(guò)斷開(kāi)自鎖開(kāi)關(guān),則 每按一次不自鎖開(kāi)關(guān),則路數(shù)數(shù)加一并顯示該路電壓值。 唐山學(xué)院課程設(shè)計(jì) 12 5 設(shè)計(jì)總結(jié) 通過(guò)這次單片機(jī)課程設(shè)計(jì),我不僅加深了對(duì)單片機(jī)理論的理解,將理論很好 地應(yīng)用到實(shí)際當(dāng)中去,而且我還學(xué)會(huì)了如何去培養(yǎng)我們的創(chuàng)新精神,從而不斷地 戰(zhàn)勝自己,超越自己。創(chuàng)新可以是在原有的基礎(chǔ)上進(jìn)行改進(jìn),使之功能不斷完善, 成

30、為真己的東西。 這次實(shí)習(xí)讓我受益匪淺,無(wú)論從知識(shí)上還是其他的各個(gè)方面。上課的時(shí)候的 學(xué)習(xí)從來(lái)沒(méi)有接觸過(guò)真正的單片機(jī),只是從理論的角度去理解枯燥乏味。但在實(shí) 習(xí)中模擬使用了單片機(jī)及其系統(tǒng),能夠理論聯(lián)系實(shí)際的學(xué)習(xí),開(kāi)闊了眼界,提高 了單片機(jī)知識(shí)的理解和水平。在這次課程設(shè)計(jì)中又讓我體會(huì)到了合作與團(tuán)結(jié)的力 量,當(dāng)遇到不會(huì)或是設(shè)計(jì)不出來(lái)的地方,我們就會(huì)相互討論或者幫助。團(tuán)結(jié)就是 力量,無(wú)論在現(xiàn)在的學(xué)習(xí)中還是在以后的工作中,團(tuán)結(jié)都是至關(guān)重要的,有了團(tuán) 結(jié)會(huì)有更多的理念、更多的思維、更多的情感。 單片機(jī)是很重要的一門(mén)課程,盡管我們?cè)谡n堂學(xué)到的內(nèi)容很有限,但在以后 的學(xué)習(xí)中單片機(jī)還需要好好的深入研究和學(xué)習(xí),學(xué)

31、好了單片機(jī)也就多了一項(xiàng)生存 的本錢(qián)。 唐山學(xué)院課程設(shè)計(jì) 13 附錄 源程序: org 0000h sjmpstart start:movdptr,#tab;段碼表首地址 wait: mov a,#0ffh mov p3,a mov a,p3 anl a,#07h jnb p3.3,loop1 mov r0,a swap a mov p3,a clr p2.5 setb p2.5 clr p2.5;啟動(dòng) ad 轉(zhuǎn)換 jnb p2.6,$;等待轉(zhuǎn)換結(jié)束 setb p2.7 mov a,#0ffh mov p1,a mov a,p1;讀取 ad 轉(zhuǎn)換結(jié)果 clr p2.7 mov b,#51;ad

32、轉(zhuǎn)換結(jié)果轉(zhuǎn)換成 bcd 碼 div ab mov r1,a mov a,b mov b,#2 mul ab mov b,#10 div ab mov r2,a mov r3,b lcall disp sjmp wait loop1:incr0 mov a,r0 cjne a,#08h,next mova,#00h next:mov r0,a swap a mov p3,a 唐山學(xué)院課程設(shè)計(jì) 14 clr p2.5 setb p2.5 clr p2.5;啟動(dòng) ad 轉(zhuǎn)換 jnb p2.6,$;等待轉(zhuǎn)換結(jié)束 setb p2.7 mov a,#0ffh mov p1,a mov a,p1;讀取 ad

33、 轉(zhuǎn)換結(jié)果 clr p2.7 mov b,#51;ad 轉(zhuǎn)換結(jié)果轉(zhuǎn)換成 bcd 碼 div ab mov r1,a mov a,b mov b,#2 mul ab mov b,#10 div ab mov r2,a mov r3,b lcall disp jnb p3.3,loop1 sjmp wait disp:mov r4,#0fh loop:mova,r3;顯示子程序 movc a,a+dptr clrp2.3 movp0,a lcall delay setbp2.3 mova,r2 movc a,a+dptr clrp2.2 movp0,a lcall delay setbp2.2 mova,r1 movc a,a+dptr add a,#80h clrp2.1 movp0,a lcall delay setbp2.1 mova,r0 movc a,a+dptr

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論