基于單片機(jī)的紅外監(jiān)控系統(tǒng)設(shè)計畢業(yè)論文_第1頁
基于單片機(jī)的紅外監(jiān)控系統(tǒng)設(shè)計畢業(yè)論文_第2頁
基于單片機(jī)的紅外監(jiān)控系統(tǒng)設(shè)計畢業(yè)論文_第3頁
基于單片機(jī)的紅外監(jiān)控系統(tǒng)設(shè)計畢業(yè)論文_第4頁
基于單片機(jī)的紅外監(jiān)控系統(tǒng)設(shè)計畢業(yè)論文_第5頁
已閱讀5頁,還剩30頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、基于單片機(jī)的紅外報警監(jiān)控系統(tǒng)設(shè)計 摘 要 伴隨著經(jīng)濟(jì)的飛快發(fā)展,科學(xué)技術(shù)的發(fā)展速度令人側(cè)目。隨著人民生活水平日 趨提高,大家對自己的個人財產(chǎn)的保護(hù)意識也是越來越重,因此,越來越多的家庭 都安裝了報警監(jiān)控系統(tǒng)來保護(hù)自己的私有財產(chǎn)和人身安全。 在眾多的報警系統(tǒng)當(dāng)作,紅外報警監(jiān)控系統(tǒng)憑借它很高的穩(wěn)定性和可靠性贏得 了廣泛的市場。本設(shè)計采用了 STC89C52 單片機(jī),把 STC89C52 作為了這個系統(tǒng)的核 心來進(jìn)行系統(tǒng)的設(shè)計,由于人的體溫恒定在 37 度左右,所以人體會發(fā)射出特定波長 的紅外線,這種紅外線通過被 HC-SR501 人體紅外傳感器的檢測后,紅外線信號就會 轉(zhuǎn)化成為電壓信號,通過單片機(jī)

2、對信號進(jìn)行經(jīng)過一系列的處理以后,就會驅(qū)動控制 聲光報警電路進(jìn)行報警。本設(shè)計使用 HC-SR501 人體感應(yīng)模塊來進(jìn)行探測。HC-SR501 靈敏度高、可靠性強(qiáng)、安裝簡單方便而且非常隱蔽,不容易人被發(fā)現(xiàn)。 本文設(shè)計完成的紅外報警監(jiān)控系統(tǒng),比普通的報警監(jiān)控系統(tǒng)抗干擾性能更好、 安裝更方便、成本低廉,是現(xiàn)代家庭防盜報警的最佳選擇。 關(guān)鍵詞關(guān)鍵詞 STC89C52;報警電路;HC-SR501 模塊;晶體振蕩器 The design and implementation of Infrared alarm monitoring system based on SCM Abstract Along wit

3、h the fast development of economy, science and technologydevelopment speed is surprising. With the peoples living standards graduallyimprove, everyone to his personal property protection consciousness is more and more heavy, therefore, more and more families have installed alarm monitoring system to

4、 the protection of private property and the peoples ownpersonal safety. In many of the alarm system as, infrared alarm monitoring system with its high stability and reliability to win broad market. This design uses the STC89C52single chip, STC89C52 as the core of this system to system design, becaus

5、e of the constant temperature at 37 degrees, so the body will emit a specific wavelength of infrared, the infrared by detection of HC-SR501 human body infrared sensor, the infrared signal will be converted into voltage signal, through the microcontroller to signal after a series of processing, will

6、drive controlacousto-optic alarming. This design uses HC-SR501 human body induction module to detect. HC-SR501 has the advantages of high sensitivity, strong reliability, simple and convenient installation and very subtle, not easy be found. In this paper the design of the infrared alarm system, ala

7、rm monitoring systemsthan ordinary better anti-jamming performance, more convenient installation, low cost, is the best choice for the modern family anti-theft alarm. Keywords STC89C52; alarm circuit; HC-SR501 module;crystal oscillator 目 錄 第 1 章 緒論.1 1.1 引言 .1 1.2 選題背景.1 第 2 章 紅外報警監(jiān)控系統(tǒng)基礎(chǔ)知識.2 2.1 系統(tǒng)描

8、述 .2 2.2 STC89C52 單片機(jī).2 單片機(jī)的結(jié)構(gòu).3 的特點 4 單片機(jī)的基本結(jié)構(gòu).5 2.3 HC-SR501 人體感應(yīng)模塊.6 人體感應(yīng)模塊的工作原理:.7 HC-SR501 人體紅外感應(yīng)模塊的電氣參數(shù).7 人體感應(yīng)模塊的特性.8 人體感應(yīng)模塊的使用說明.8 人體感應(yīng)器的感應(yīng)范圍及安裝要求.9 第 3 章 紅外報警監(jiān)控系統(tǒng)的設(shè)計方案.10 3.1 紅外報警監(jiān)控系統(tǒng)的設(shè)計思路.10 3.2 紅外報警監(jiān)控系統(tǒng)涉及的模塊.10 系統(tǒng)電源模塊.11 放大電路.11 復(fù)位電路.12 時鐘電路.12 蜂鳴器報警電路.13 指示電路.13 第 4 章 基于單片機(jī)的紅外報警監(jiān)控系統(tǒng)的軟件設(shè)計.

9、14 4.1 主程序的總體流程圖.14 4.2 主程序的 C 語言編程.14 第 5 章 軟件仿真.18 5.1 硬件調(diào)試 .18 5.2 軟件調(diào)試 .19 5.3 系統(tǒng)調(diào)試 .19 結(jié) 論.20 致 謝.21 參 考 文 獻(xiàn).22 附錄 A 譯 文.23 STC89C52 處理芯片.23 第第 1 1 章章 緒論緒論 1.11.1 引言引言 隨著社會經(jīng)濟(jì)的發(fā)展,科技不斷的在進(jìn)步,其中電子技術(shù)發(fā)展速度令人側(cè)目, 在短短的幾十年里面,電子產(chǎn)品從無到有,從有到多,如今滲透了社會的各個領(lǐng)域, 人們的生活的各個方面都含有電子產(chǎn)品的影子,電子技術(shù)及產(chǎn)品大大簡化了人們的 生活方式。隨著社會生產(chǎn)力的發(fā)展,社

10、會信息化程度也越來越高,而這一切又反過 來促使電子技術(shù)和產(chǎn)品的不斷的高速發(fā)展,電子產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。 比如 8 年前小小的一張 SD 卡容量是 128MB,如今卻已經(jīng)達(dá)到 128GB 以上。這令人咋 舌的發(fā)展速度促使電子技術(shù)被應(yīng)用得越來越廣泛,也越來越平民化。所以說電子技 術(shù)正在一點一點不斷地滲透進(jìn)我們的生活,改變了人們的生活方式。我們的生活與 電子技術(shù)緊密的結(jié)合在一起,世界已經(jīng)離不開電子技術(shù)! 1.21.2 選題背景選題背景 改革開放以后,中國的經(jīng)濟(jì)在飛速的發(fā)展,人民的生活水平也達(dá)到了一個較 高的水準(zhǔn),這導(dǎo)致人們對自己私有財產(chǎn)的保護(hù)意識在不斷的加強(qiáng)。面對科技發(fā)展, 盜竊方式層出不

11、窮,因此對于防盜措施要求也是越來越高。本設(shè)計的目的是為了 實現(xiàn)預(yù)防盜竊,給予人們對自己私有財產(chǎn)和人生安全的一個有力保障。 目前市面上的報警器都存在著許多問題,由于工作原理和設(shè)計的限制,大多 數(shù)報警器都存在著誤報率高、可靠性低、范圍小、靈敏度低等問題,另外,安 裝閉路監(jiān)控電路防盜系統(tǒng)的線路非常的復(fù)雜,對于安裝技術(shù)要求比較高,硬件成本 和維護(hù)成本太高了,不適合在一般家庭當(dāng)中安裝使用,不利于廣泛推廣利用。而本 設(shè)計所采用的是探測紅外線的紅外傳感器來探測,由于紅外線是不可見光,所以這 個系統(tǒng)有很高的保密性和隱蔽性,因此被廣泛應(yīng)用于報警防盜等安全等裝置之中。 在探測區(qū)域內(nèi),一旦人體所輻射出的紅外線被人體

12、紅外感應(yīng)模塊檢測到,模塊將人 體輻射出的紅外線吸收,通過一系列的處理后使其轉(zhuǎn)變?yōu)殡妷盒盘枴?本設(shè)計的系統(tǒng)由 STC89C52 為核心,通過組織報警電路、HC-SR501 人體感應(yīng)模 塊、復(fù)位電路這幾個部分組合而成的一個系統(tǒng)。 第第 2 2 章章 紅外報警監(jiān)控系統(tǒng)紅外報警監(jiān)控系統(tǒng)基礎(chǔ)知識基礎(chǔ)知識 2.12.1 系統(tǒng)描述系統(tǒng)描述 本設(shè)計是 STC89C52 單片機(jī)為核心來設(shè)計的紅外報警監(jiān)控系統(tǒng),如果在人體紅外 傳感器的探測范圍之中有檢測到人體所產(chǎn)生的紅外輻射時,傳感器就會將紅外輻射 信號進(jìn)行一系列的轉(zhuǎn)化,經(jīng)過轉(zhuǎn)化后就會產(chǎn)生一個與之相對應(yīng)的電信號繼而輸出。 當(dāng)沒有人在里面的運(yùn)動檢測區(qū)域時,通過人體紅

13、外傳感器的輸出信號是低的,一旦 在區(qū)域范圍內(nèi)的檢測到時,傳感器所輸出的電信號立刻由低電平向高電平轉(zhuǎn)換,同 時把這個高電平信號輸入到單片機(jī)當(dāng)中,這個信號會被當(dāng)作單片機(jī)的外部觸發(fā)信號 來進(jìn)行一系列的處理,經(jīng)過單片機(jī)的內(nèi)部軟件的一系列編程處理之后,STC89C52 單 片機(jī)將會輸出一個控制信號,由這個信號來控制報警電路進(jìn)行報警。這個設(shè)計的電 路結(jié)構(gòu)由信號檢測電路、復(fù)位電路、STC89C52 單片機(jī)報警執(zhí)行電路組合而成。經(jīng)過 我的分析和總結(jié),總體框圖如圖 2.1 所示: 復(fù)位電路 信號檢測電路放大 CPUS TC89 C52 執(zhí)行報警電路驅(qū)動 圖 2.1 系統(tǒng)框圖 2.22.2 STC89C52STC

14、89C52 單片機(jī)單片機(jī) 這個設(shè)計的核心是 STC89C52 單片機(jī),我所使用的 STC89C52 是宏晶公司所生產(chǎn) 的,這一款單片機(jī)是性價比非常高。單片機(jī)的最高時鐘工作頻率能夠達(dá)到驚人的 80Mhz,片內(nèi)的 Flash 只讀程序存儲器可以反復(fù)的寫入和擦除十萬多次,SCT89C51 兼容 80C51 引腳結(jié)構(gòu)以及標(biāo)準(zhǔn)的 MCS-51,這塊單片機(jī)能夠做到在系統(tǒng)可編程,因為 單芯片集成的 8 位 CPU 和 ISP 閃存存儲單元,通過在計算機(jī)程序的控制可以用代碼 下載到單片機(jī)中,這樣就不需要去特意的去買通用編程器節(jié)省了很多不需要的開支, 而且速度更快。 宏晶生產(chǎn)STC89C52完全兼容傳統(tǒng)的805

15、1單片機(jī)指令,它是一款低功耗、高速、 超強(qiáng)抗干擾的單片機(jī)。 STC89C52有四種封裝形式:44針腳的PLCC和LQFP、PQFP形式;40針腳的 PDIP形式。 圖2.2 PDIP-40引腳圖 圖2.3 PLCC-44封引腳圖 圖2.4 LQFP-44和PQFP-44引腳圖 (1)精簡指令集結(jié)構(gòu)的 8051CPU (2)工作電壓:3.4-5.5V (3)工作頻率范圍:0 到 48MHz. (4)2-12K 字節(jié)的內(nèi)部應(yīng)用程序空間 (5)片上集成 512 字節(jié) RAM (6)23/27 通用的 I/O 端口,每個 I/O 端口的驅(qū)動能力都可以達(dá)到 20mA,但最大驅(qū) 動能力不能超過 55mA

16、(7)將能夠在系統(tǒng)中做的,上面的編程應(yīng)用程序不需要特殊的程序,通過串口 (P3.0、P3.1)可以直接通過電腦下載到單片機(jī),這個過程僅僅只需要幾秒鐘就可 以完成 (8)EEPROM 功能 (9)看門狗 (10)在 STC89C52 當(dāng)中集成了專用的復(fù)位電路 (11)當(dāng)下載用戶程序的時候,可以選擇應(yīng)用外部晶體/ 時鐘或者內(nèi)部 R/C 振蕩器。 (12)具有兩個十六位的定時器/計數(shù)器 (13) 外部中斷 2 路,下降沿中斷或低電平觸發(fā)中斷,斷電可由外部提供的低電平觸 發(fā)中斷喚醒中斷 (14) PWM(4)/ PCA,可用于實現(xiàn) 4 或 4 的外部中斷定時器 (15)異步串行口(UART) (16)

17、 SPI 同步通信端口,從模型的主要模式 (17)在 0 到 75 攝氏度的溫度范圍內(nèi)能夠正常工作 STC89C52 單片機(jī)上集成了 CPU、存儲器、定時和計數(shù)器、可編程 I/O 口、中斷 系統(tǒng)和串行接口等部分,這些元器件都是組成微型戶數(shù)的主要成分。各個部分的元 件通過內(nèi)部總線互相連接在一起。單片機(jī)的基本結(jié)構(gòu)如圖 2.5 所示 外部時鐘源 振蕩器和時序 OSC 外部時間數(shù) 可編程全雙工串 行口 可編程I/O 64KB總線擴(kuò)展 控制器 CPU AT89C51 定時器/計數(shù)器 216 數(shù)據(jù)存儲器 256B RAM/SFR 程序存儲器4KB ROM 并行口控制外中斷 內(nèi)中斷 串行通信 圖 2.5 S

18、TC89C52 基本結(jié)構(gòu)圖 2.32.3 HC-SR501HC-SR501 人體感應(yīng)模塊人體感應(yīng)模塊 HC-SR501 人體感應(yīng)模塊是基于紅外線技術(shù)而設(shè)計開發(fā)出來的自動控制模塊,使 用的是從德國進(jìn)口的原裝 LHI778 探頭來進(jìn)行設(shè)計的,LHI778 探頭的靈敏度很高, 可靠性也很強(qiáng),是超低電壓工作模式,在各類自動感應(yīng)的電器設(shè)備當(dāng)中被廣泛的應(yīng) 用,在自動控制產(chǎn)品當(dāng)中,尤其用干電池當(dāng)電源的產(chǎn)品中應(yīng)用的最為廣泛。HC- SR501 的實物圖如圖 2.6 所示 圖2.6 HC-SR501人體感應(yīng)模塊實物圖 因為每一個人的體溫都是恒定的保持在 37 攝氏度左右,所以人體會發(fā)出 10um 左右的波長的紅

19、外線,人體感應(yīng)模塊通過 lhi778 探頭檢測所發(fā)射的紅外工作。通過 探頭上的菲泥爾濾光片增強(qiáng)人體說發(fā)射出的紅外線,然后把增強(qiáng)后的紅外線聚集到 紅外感應(yīng)源上面去。一般都是采用熱釋電元件來充當(dāng)紅外感應(yīng)源,一旦接收到的人 體紅外輻射溫度產(chǎn)生了變化,這時候熱釋電元件內(nèi)部的電荷就會失去平衡,從而向 外釋放電荷,所釋放出的電荷通過后續(xù)電路時,經(jīng)檢測處理之后就會產(chǎn)生報警信號, 從而執(zhí)行報警。 HC-SR501HC-SR501 人體紅外感應(yīng)模塊的電氣參數(shù)人體紅外感應(yīng)模塊的電氣參數(shù) 人體紅外感應(yīng)模塊的電氣參數(shù)如表 2.1 所示 產(chǎn)品型號 HC-SR501 工作電壓4.5-20V 直流電壓 靜態(tài)電流小于 50u

20、A 電平輸出高電平 3.3V/低電平 0V 觸發(fā)方式H 重復(fù)觸發(fā),L 不能重復(fù)觸發(fā) 延時時間5-200S(可調(diào))可制作范圍零點幾秒-幾十分鐘 封鎖時間2.5S(默認(rèn))可制作范圍零點幾秒-幾十秒 電路板尺寸32 毫米24 毫米 感應(yīng)角度小于 100 度錐角 工作溫度-15 -+70 度 表2.1 HC-SR501的電器參數(shù) 1、LHI778 探頭的目的是探測人體輻射。所以使用紅外輻射波長敏感的熱釋電 元打的敏感范圍應(yīng)該在 10um 左右的波長。 2、為了探測的準(zhǔn)確性減少其他紅外源的干擾,在探頭的輻射照面覆蓋上一層菲 泥爾濾光片,來控制了環(huán)境對探頭的干擾,這樣做的效果很明顯。 3、在被動紅外探頭里

21、面會集成兩個熱釋電元。這兩個電元互相串聯(lián)或并聯(lián),并 且使得這兩個電元要有相反的電極化方向,由于環(huán)境背景的輻射對這兩個元件作用 是一樣的,由于極化反向相反,所以他們各自產(chǎn)生的釋電效應(yīng)會完全相互抵消掉, 所以探測器不會輸出任何信號。 4、一旦有人來 LHI78 探頭所在的檢測區(qū)里面的時候,人體的紅外輻射經(jīng)過濾光 片的鏡面進(jìn)行聚焦,聚焦后的熱量分別被兩個熱釋電元件接收,由于兩個元件所接 受的熱量是不同的,兩個熱釋電元接收的熱量也不一樣,所以熱釋電是不一樣的, 因此熱釋電效應(yīng)不能被完全的抵消掉,電信號經(jīng)過一系列的處理后控制報警器報警。 5、之所以菲泥爾濾光片會產(chǎn)生許多的不同的試場來進(jìn)行監(jiān)控是因為它會根

22、據(jù)對 性能的要求不同,從而產(chǎn)生不同的感應(yīng)距離,如果視場越多,那么就說明它對報警 孔子種子的也就更加的嚴(yán)密。 1.在剛通電的時候,感應(yīng)模塊要的初始化時間大概要有一分鐘左右,在這段時 間里面,模塊會間歇性的輸出 0 到 3 次,在一分鐘的初始化時間過后,模塊進(jìn)入待 機(jī)狀態(tài)。 2.模塊不要放在燈光等干擾源附近,防止被那些干擾源所干擾從而產(chǎn)生錯誤的 信號。在使用模塊的時候應(yīng)該盡量的避免安裝在空氣流動快的地方,在有風(fēng)的地方 感應(yīng)器會受到一定程度上的干擾。 3.模塊采用長方形窗口的雙元探頭,雙元應(yīng)該處于長向的兩端,當(dāng)有人在探測 區(qū)域里面從右邊的一端向左邊的一端走過的時候,紅外光譜到達(dá)這兩個探頭的時間 和距

23、離都是有這一定的差距,而這個差距越大,就代表這個模塊的感應(yīng)效果就越靈 敏。但是,當(dāng)有人從底部到頂部或從上到下,雙元探測器沒有光譜的變化,所以不 會產(chǎn)生間隙,所以會導(dǎo)致傳感器誤差。所以安裝的時候要盡量的對準(zhǔn)和人體運(yùn)動反 向想平行的地方安裝,盡可能的確保人體在經(jīng)過探頭的時候能被探頭的雙元感應(yīng)到。 圖 2.7 HC-SR501 的感應(yīng)范圍 為了降低人體感應(yīng)器的誤報率,我們在安裝的時候有幾點需要特別的注意,正 確的安裝應(yīng)滿足下列條件: b.模塊不能安裝在有強(qiáng)氣流經(jīng)過的地方。 c.模塊探測范圍內(nèi)不能有屏風(fēng)、大型盆景之類的隔離物。 d.模塊應(yīng)該遠(yuǎn)離那些會產(chǎn)生熱量的物體,比如空調(diào)、冰箱等。 第第 3 3 章

24、章 紅外報警監(jiān)控系統(tǒng)的紅外報警監(jiān)控系統(tǒng)的設(shè)計方案設(shè)計方案 3.13.1 紅外報警監(jiān)控系統(tǒng)的設(shè)計思路紅外報警監(jiān)控系統(tǒng)的設(shè)計思路 單片機(jī)是這個設(shè)計的核心,因此這個設(shè)計就是一種對于單片機(jī)應(yīng)用系統(tǒng)的應(yīng)用。 硬件和軟件這兩個部分構(gòu)成了這個應(yīng)用系統(tǒng)。它的設(shè)計過程大致可以分成總體設(shè)計、 硬件設(shè)計還有軟件設(shè)計這幾個部分。 從畢業(yè)設(shè)計的設(shè)計要求與目的進(jìn)行分析,我之所以選用的單片機(jī)是STC89C52是 因為STC89C52的主控電路之中集成了復(fù)位電路和時鐘電路,并且能夠在系統(tǒng)可編程, 所以他能夠在很大程度上節(jié)省在硬件上和軟件成本,能夠節(jié)約大量的時間,而且簡 單有實用。按照總體框圖的設(shè)計思路,利用軟件PROTEL

25、99 SE來設(shè)計得到的總電路 圖如圖3.1所示: 圖3.1 報警器總電路圖 3.23.2 紅外報警監(jiān)控系統(tǒng)涉及的模塊紅外報警監(jiān)控系統(tǒng)涉及的模塊 這個紅外報警監(jiān)控系統(tǒng)的電路組成部分有:系統(tǒng)電源及指示燈,STC89C52 單片 機(jī),復(fù)位電路,放大電路,時鐘點了,蜂鳴器報警電路和 LED 指示電路。 本設(shè)計采用的是干電池來進(jìn)行供電,電源系統(tǒng)的電路圖如圖 3.2 所示 圖3.2 電源及指示燈模塊 放大電路的作用是把感應(yīng)器所產(chǎn)生的微弱的電流信號進(jìn)行放大處理,它的電路 圖如圖 3.3 所示: 圖3.3 放大電路 復(fù)位電路的作用是使電路回到同一角色的初始狀態(tài),其工作原理和計算機(jī),只 要復(fù)位電路啟動原理和方法

26、和計算機(jī)是不一樣的。復(fù)位電路的電路圖如圖 3.4 所示 圖3.4 復(fù)位電路 時鐘電路是只一個有嚴(yán)格周期性的振蕩電路。時鐘電路的組成包括了電容、晶 振以及晶體振蕩器。時鐘電路的電路圖如圖 3.5 所示 圖3.5 時鐘電路 蜂鳴器接收到高電平的時候進(jìn)行報警,提示有人進(jìn)入到探測區(qū)域。在沒有人的 時候,蜂鳴器不工作。報警電路的電路圖如圖 3.6 所示 圖3.6 蜂鳴器報警電路 當(dāng)有人闖入到探測的區(qū)域里面的時候,除了蜂鳴器報警以外,LED 指示電路也 開始工作,通過發(fā)光的方式來提醒有人闖入。使這個監(jiān)控系統(tǒng)同時擁有聲光兩種報 警方式,讓報警器的報警信號能夠更加的明顯。LED 指示電路的電路圖如圖 3.7

27、所 示 圖3.7 LED指示電路 第第 4 4 章章 基于單片機(jī)的紅外報警監(jiān)控系統(tǒng)的軟件設(shè)計基于單片機(jī)的紅外報警監(jiān)控系統(tǒng)的軟件設(shè)計 4.14.1 主程序的總體流程圖主程序的總體流程圖 初始化 判斷傳感器是 否被觸發(fā) 執(zhí)行報警 返回 開始 圖 4.1 主程序流程圖 4.24.2 主程序的主程序的 C C 語言編程語言編程 #include void init(); /定時器 0 初始化函數(shù) sbit rt=P11; /定義人體傳感器輸入引腳是 P11 sbit spk=P27; /定義蜂鳴器輸出入引腳是 P07 sbit led=P20; /定義報警指示燈輸出引腳是 P27 int i,j,n;

28、 /定義全局變量 long int t=0; /延時程序/ void delay(int n) for(i=250;i0;i-) for(j=n;j0;j-); main(void) init(); /定時器函數(shù)初始化 spk=0; /關(guān)閉蜂鳴器,上電就不會響 led=1; while(1) if(rt=0) for(n=0;n=250) led=led; /工作狀態(tài)燈不停閃,邊上工作正常 spk=1; t=0; /while(1) /main void init() TMOD=0X01;/設(shè)置定時器 0 為模式一(也就是 16 位計算模式) TH0=(65536-10000)/256;/給計

29、數(shù)寄存器賦值,為 50ms 的時間 TL0=(65536-10000)%256; EA=1;/開啟總中斷 ET0=1;/開啟定時器 0 中斷 TR0=1;/啟動定時器 0 void timer() interrupt 1 TH0=(65536-10000)/256; /重新賦值 50 毫秒中斷 TL0=(65536-10000)%256; t+; /t 累加 /可隨意更改中斷時間做一個精確的脈沖發(fā)生 器,最大中斷時間為 65536 毫秒 第第 5 5 章章 軟件仿真軟件仿真 軟件和硬件結(jié)合在一起,調(diào)試和模擬是一個重要的過程。通過調(diào)試和仿真來檢 驗設(shè)計出的系統(tǒng)的性能。本設(shè)計是通過使用軟件 Kei

30、l 來進(jìn)行程序的編寫和編譯。 keil 軟件編譯結(jié)果如圖 5.1 所示 圖 5.1 KEIL 編譯結(jié)果 經(jīng)過編譯,證明這個程序是實際可行的 5.15.1 硬件調(diào)試硬件調(diào)試 之所以要進(jìn)行硬件調(diào)試,是為了排除明顯的硬件錯誤。根據(jù)電路圖制作出 PCB 板后,再按照電路圖把對應(yīng)的元器件逐一連接,并且檢測是不是連接正確。然后檢 測調(diào)試每個硬件的各個部分,確保每個接口都是正確可靠的。然后在通過儀器檢測 電路是不是正確,是不是有短路、斷路等錯誤的存在。 5.25.2 軟件調(diào)試軟件調(diào)試 在編制好系統(tǒng)的軟件程序以后,使用匯編軟件對程序進(jìn)行編制,讓程序變成可 以在器件里面執(zhí)行的目標(biāo)代碼。根據(jù)(子程序,中斷程序,算

31、法模塊主程序), 以便調(diào)試。前三步調(diào)試完成后,通過主程序把前面的三個部分進(jìn)行連接,組合成一 體,然后進(jìn)行最后的整體調(diào)試。通過整體調(diào)試來檢查各個部分的程序之間是會否相 互沖突,互相影響。采用逐步擴(kuò)大的方法來進(jìn)行整體調(diào)試,依次增加一個模塊,最 后直到所有模塊都組合成一個整體位置,這樣做的好處是能夠更加簡單的發(fā)現(xiàn)哪里 發(fā)生錯誤,從而能夠更簡單的去糾正錯誤。如果整體通過的調(diào)試檢測,那么說明所 設(shè)計的軟件基本上是正確可行的。 5.35.3 系統(tǒng)調(diào)試系統(tǒng)調(diào)試 在軟件調(diào)試通過以后,確保所設(shè)計的程序是可行的,就可以進(jìn)行系統(tǒng)調(diào)試了。 講電腦與單片機(jī)的開發(fā)板通過串口線來進(jìn)行連接。插上電源線,關(guān)閉開發(fā)板上面 的電元

32、開關(guān)。 打開下載軟件,STC_ISP 打開代碼文件 點擊 download 按鈕進(jìn)行下載程序,把程序下載到單片機(jī)上 打開電源開關(guān),給單片機(jī)系統(tǒng)通電 結(jié)結(jié) 論論 本系統(tǒng)設(shè)計的核心芯片 STC89C52,紅外報警監(jiān)控系統(tǒng)的設(shè)計具有電路簡單,可 靠性高,成本低的優(yōu)點,我認(rèn)為這是最經(jīng)濟(jì)、實用的家庭防盜報警系統(tǒng)方案。 本設(shè)計是一個實踐應(yīng)用性非常強(qiáng)大的一個課題,但是要讓這個設(shè)計產(chǎn)品化,讓 它完全能夠進(jìn)入到實際的市場應(yīng)用的,讓它能夠經(jīng)受住嚴(yán)格實際環(huán)境的驗證,這還 要進(jìn)行很多細(xì)節(jié)上的修改以及其他許多的深入細(xì)致的工作。隨著社會的進(jìn)步,科技 也在日新月異的在發(fā)展,如今住宅小區(qū)的智能化是現(xiàn)在住宅的必然的發(fā)展方向,這

33、 導(dǎo)致了住宅小區(qū)智能化管理系統(tǒng)將對安全智能化的要求越來越高。 本次設(shè)計完成了軟件和硬件的主要功能模塊的設(shè)計,為進(jìn)一步設(shè)計開發(fā)及功能 擴(kuò)展打下了堅實的基礎(chǔ)。由于時間關(guān)系和水平有限,設(shè)計的系統(tǒng)比較的簡單,功能 也比較單一,對于環(huán)境干擾和實際情景下的工作狀態(tài)的考慮還有很多不足的地方。 這些不足和功能上的拓展只能在日后掌握更多的專業(yè)知識之后才能更加深入進(jìn)行補(bǔ) 充和完善了。 致致 謝謝 在我的畢業(yè)設(shè)計過程當(dāng)中,我的導(dǎo)師 教授給與了我很大的幫助,提供了很多 的相關(guān)的資料。從論文的選題、設(shè)計、準(zhǔn)備到完成,乃至論文的撰寫與修改,這一 切的一切都凝聚著導(dǎo)師巨大的心血。在此,我由衷的對班建民導(dǎo)師表示衷心的感謝!

34、同時,也要感謝學(xué)校所提供的 PC 電腦和各種試驗儀器等設(shè)施,使我的設(shè)計已經(jīng)順利 完成。 寒窗幾載,春花秋實。在大學(xué)的四年是我人生之中非常主要的一個人生階段。 在這四年當(dāng)中,在學(xué)習(xí)了專業(yè)的知識的同時,我各方面的能力也得到了很大的鍛煉, 這為今后的工作生活有著很大的影響,通過這四年的鍛煉讓我能夠從容的面對今后 來自社會的挑戰(zhàn)。通過這次的畢業(yè)設(shè)計,我學(xué)習(xí)到了很多平時學(xué)不到的事情,第一 次通過自己的努力專研去把這幾年學(xué)習(xí)到的理論知識付諸實踐,這對我來說是一次 寶貴的經(jīng)歷。 最后,感謝所有給與我?guī)椭耐瑢W(xué)和老師們,我衷心的祝愿各位身體健康、萬 事如意! 參 考 文 獻(xiàn) 1 李華主編.MCS51 單片機(jī)實

35、用接口技術(shù)M.北京航空航天大學(xué)出版社,1993 2 周航慈.單片應(yīng)用程序設(shè)計技術(shù)M.北京航空航天大學(xué)出版社,1990 3 趙曉安. MCS-51 單片機(jī)原理及應(yīng)用M.天津大學(xué)出版社,2001 4 何立民.單片機(jī)應(yīng)用技術(shù)選編M.北京航空航天大學(xué)出版社,1996 5 李廣第單片機(jī)基礎(chǔ)M北京航空航天大學(xué)出版社,1999 6 肖景和趙鍵.紅外線熱釋電與超聲波遙控電路M.人民郵電出版社 7 肖金球.單片機(jī)原理與接口技術(shù)M.清華大學(xué)出版社,2004 8 郁有文.傳感器原理及工程應(yīng)用M.西安電子科技大學(xué)出版社.2008 9 任文,孔慶彥.C 語言程序設(shè)計M.機(jī)械工業(yè)出版社.2009 10 周景潤.PROTE

36、US 入門實用教程M.機(jī)械工業(yè)出版社.2009 11 張瑾. 電路設(shè)計與制板:Protel 99SE 入門與提高M(jìn).人民郵電出版社.2007 12 郭天祥.新概念 51 單片機(jī) C 語言教程M.中國水利水電出版社.2009 附錄 A 譯 文 STC89C52 處理芯片 首要性能: 與 MCS-51 單片機(jī)產(chǎn)物兼容 、8K 字節(jié)在系統(tǒng)可編程 Flash 存儲器、 1000 次擦寫周期、全靜態(tài)操作:0Hz33Hz 、三級加密程序存儲器、 32 個可編程 I/O 口線 、三個 16 位定時器/計數(shù)器 八個間斷源、全雙職工 UART 串行通道、 低功耗空閑和掉電模式 、掉電后間斷可喚醒 、看門狗定時器

37、 、雙數(shù)值指針 、掉電標(biāo)識符。 功效特性描述: STC89C52 是一種低功耗、高性能 CMOS8 位微控制器,具有 8K 在系統(tǒng)可編 程 Flash 存儲器。使用高密度非易失性存儲器技術(shù)制造,與工業(yè) 80C51 產(chǎn)物指 令和引腳完全兼容。片上 Flash 允許程序存儲器在系統(tǒng)可編程,亦適于常規(guī)編 程器。在單芯片上,擁有靈巧的 8 位 CPU 和在線系統(tǒng)可編程 Flash,使患上 STC89C52 為眾多嵌入式控制應(yīng)用系統(tǒng)提供高矯捷、超有用的解決方案。 STC89C52 具有以下標(biāo)準(zhǔn)功效: 8k 字節(jié) Flash,256 字節(jié) RAM, 32 位 I/O 口線, 看門狗定時器,2 個數(shù)值指針,

38、三個 16 位 定時器/計數(shù)器,一個 6 向量 2 級 間斷結(jié)構(gòu),全雙職工串行口, 片內(nèi)晶振及鐘表電路。另外,AT89S52 可降至 0Hz 靜態(tài)邏輯操作,支持 2 種軟件可選擇節(jié)電模式。空閑模式下,CPU 停止工 作,允許 RAM、定時器/計數(shù)器、串口、間斷繼續(xù)工作。掉電保護(hù)體式格局下, RAM 內(nèi)容被生存,振動器被凍結(jié),單片機(jī)一切工作停止,直到下一個間斷或者 硬件復(fù)位為止。8 位微控制器 8K 字節(jié)在系統(tǒng)可編程 Flash AT89S52 P0 口:P0 口是一個 8 位漏極開路的雙向 I/O 口。作為輸出口,每位能驅(qū) 動 8 個 TTL 邏輯電平。對于 P0 端口寫“1”時,引腳用作高阻

39、抗輸入。 當(dāng)訪問外部程序和數(shù)值存儲器時,P0 口也被作為低 8 位地址/數(shù)值復(fù)用。 在這種模式下, P0 具有內(nèi)部上拉電阻。 在 flash 編程時,P0 口也用來吸收指令字節(jié);在程序校驗時,輸出指令字 節(jié)。程序校驗時,需要外部上拉電阻。 P1 口:P1 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,p1 輸出緩沖 器能驅(qū)動四個 TTL 邏輯電平。對于 P1 端口寫“1”時,內(nèi)部上拉電阻把端口 拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi) 部電阻的原因,將輸出電流(IIL)。 引腳號第二功效: P1.0 T2(定時器/計數(shù)器 T2 的外部計數(shù)輸入),鐘表輸出 P1

40、.1 T2EX(定時器/計數(shù)器 T2 的捕捉/重載觸發(fā)信號和方向控制) P1.5 MOSI(在線系統(tǒng)編程用) P1.6 MISO(在線系統(tǒng)編程用) P1.7 SCK(在線系統(tǒng)編程用) P2 口:P2 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,P2 輸出緩沖 器能驅(qū)動四個 TTL 邏輯電平。對于 P2 端口寫“1”時,內(nèi)部上拉電阻把端口 拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi) 部電阻的原因,將輸出電流(IIL)。 在訪問外部程序存儲器或者用 16 位地址讀取外部數(shù)值存儲器(例如執(zhí)行 MOVX DPTR) 時,P2 口送出高八位地址。在這種應(yīng)用中,P2 口使

41、用很強(qiáng)的內(nèi) 部上拉發(fā)送 1。在使用 8 位地址(如 MOVX RI)訪問外部數(shù)值存儲器時,P2 口 輸出 P2 鎖存器的內(nèi)容。在 flash 編程和校驗時,P2 口也吸收高 8 位地址字節(jié) 和一些控制信號。 P3 口:P3 口是一個具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,p2 輸出緩沖 器能驅(qū)動四個 TTL 邏輯電平。對于 P3 端口寫“1”時,內(nèi)部上拉電阻把端口 拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi) 部電阻的原因,將輸出電流(IIL)。 P3 口亦作為 AT89S52 特殊功效(第二功 效)使用,如下表所示。在 flash 編程和校驗時,P3 口也吸收一些

42、控制信號。 端口引腳第二功效: P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 INTO(外間斷 0) P3.3 INT1(外間斷 1) P3.4 TO(定時/計數(shù)器 0) P3.5 T1(定時/計數(shù)器 1) P3.6 WR(外部數(shù)值存儲器寫選通) P3.7 RD(外部數(shù)值存儲器讀選通) 此外,P3 口還吸收一些用于 FLASH 閃存編程和程序校驗的控制信號。 RST復(fù)位輸入:當(dāng)振動器工作時,RST 引腳出現(xiàn)兩個機(jī)器周期以上高電 平將是單片機(jī)復(fù)位。 ALE/PROG當(dāng)訪問外部程序存儲器或者數(shù)值存儲器時,ALE(地址鎖存允 許)輸出脈沖用于鎖存地址的低 8 位字節(jié)。一般

43、情況下,ALE 仍以鐘表振動頻 率的 1/6 輸出固定的脈沖信號,因此它可對于外輸出鐘表或者用于定時目的。 要注重的是:每當(dāng)訪問外部數(shù)值存儲器時將跳過一個 ALE 脈沖。 對于 FLASH 存儲器編程期間,該引腳還用于輸入編程脈沖(PROG)。 如有必要,可通過對于特殊功效寄存器(SFR)區(qū)中的 8EH 單位的 D0 位置 位,可禁止 ALE 操作。該位置位后,只有一條 MOVX 和 MOVC 指令才氣將 ALE 激 活。此外,該引腳會被微弱拉高,單片機(jī)執(zhí)行外部程序時,應(yīng)設(shè)置 ALE 禁止位 無效。 PSEN程序儲存允許(PSEN)輸出是外部程序存儲器的讀選通信號,當(dāng) AT89C52 由外部程

44、序存儲器取指令(或者數(shù)值)時,每一個機(jī)器周期兩次 PSEN 有用,即輸出兩個脈沖,在此期間,當(dāng)訪問外部數(shù)值存儲器,將跳過兩次 PSEN 信號。 EA/VPP外部訪問允許,欲使 CPU 僅訪問外部程序存儲器(地址為 0000H-FFFFH),EA 端必須保持低電平(接地)。需注重的是:如果加密位 LB1 被編程,復(fù)位時內(nèi)部會鎖存 EA 端狀態(tài)。 如 EA 端為高電平(接 Vcc 端),CPU 則執(zhí)行內(nèi)部程序存儲器的指令。 FLASH 存儲器編程時,該引腳加上+12V 的編程允許電源 Vpp,當(dāng)然這必須 是該部件是使用 12V 編程電壓 Vpp。 通過畢業(yè)設(shè)計,提高理論聯(lián)系實際的解決實際問題的能力

45、;提高對接口技 術(shù)等相關(guān)硬件知識的深入理解;掌握 8051 的控制字的設(shè)置、工作方式、編程原 理和微機(jī)接口方法。加深理解逐次逼近法模數(shù)轉(zhuǎn)換器的特征和工作原理,掌握 ADC0809 的接口方法以及 A/D 輸入程序的設(shè)計和調(diào)試方法。 眾所周知,現(xiàn)在不管是企業(yè)、工廠,還是家庭,都基本上實現(xiàn)了自動化, 即一些智能化的設(shè)備被使用,這樣為使用者提供了極大的方便。智能化,就是 讓處理單元代替了人的思維與操作,來控制外部設(shè)備工作。 繼電器,就是一個典型的實例(現(xiàn)代自動化控制設(shè)備中都存在一個電子與 電氣電路的互相聯(lián)結(jié)問題,一方面要使電子電路的控制信號能夠控制電氣電路 的執(zhí)行元件(電動機(jī)、 電磁鐵、電燈等);一

46、方面又要為電子電路的電氣提供良 好的電隔離, 以保護(hù)電子電路和人身的安全,電子繼電器便能完成這一橋梁作 用)。 該設(shè)計就是體現(xiàn)了一些智能的方面。不斷改變模擬量來達(dá)到控制及電器、 電動機(jī)工作的目的。具體為:以 8086、0809、8255 等為核心芯片,用一路模擬 量來模擬現(xiàn)實的物理量,通過 0809 進(jìn)行采集,根據(jù)不同的模擬量輸入和其大小 進(jìn)而控制 LED 指示燈、繼電器、電機(jī)的動作。熟悉微型計算機(jī)的出現(xiàn)和大量使 用將人類社會帶入了一個新的時代。單片微型計算機(jī)(簡稱單片機(jī))在其中扮 演著十分重要的角色,在工業(yè)控制、數(shù)據(jù)采集以及儀器儀表自動化等許多領(lǐng)域 都起著十分重要的作用。熟悉了以 80C51

47、 系列單片機(jī)為核心,系統(tǒng)介紹了其結(jié) 構(gòu)原理和應(yīng)用技術(shù)。主要內(nèi)容包括單片機(jī)的基礎(chǔ)知識、結(jié)構(gòu)與原理、指令系統(tǒng)、 匯編語言程序設(shè)計、單片機(jī)內(nèi)部并行口的應(yīng)用、中斷技術(shù)、定時計數(shù)技術(shù)、串 行通信技術(shù)、系統(tǒng)擴(kuò)展技術(shù)、A/D 和 D/A 轉(zhuǎn)換器接口、單片機(jī)應(yīng)用及開發(fā)技術(shù) 等知識。 隨著電子技術(shù)和計算機(jī)技術(shù)的發(fā)展,單片機(jī)技術(shù)已成為計算機(jī)技術(shù)的 一個獨特分支,在民用和工業(yè)測控等領(lǐng)域得到了廣泛的應(yīng)用。單片機(jī)具有體積 小、功能強(qiáng)、可靠性高、價格低、使用方便和系統(tǒng)設(shè)計靈活等特點。目前,單 片機(jī)控制系統(tǒng)正以空前的速度取代著傳統(tǒng)電子控制系統(tǒng)。學(xué)習(xí)單片機(jī)并掌握其 設(shè)計使用技術(shù)已經(jīng)成為當(dāng)代大學(xué)生和一些工程技術(shù)人員必備的技能,

48、很多企業(yè) 迫切需要大量熟練掌握單片機(jī)技術(shù),并能開發(fā)、應(yīng)用和維護(hù)管理單片機(jī)控制系 統(tǒng)的高級工程技術(shù)人員。 這個系統(tǒng)采用了單片機(jī)實現(xiàn)遠(yuǎn)程多路數(shù)據(jù)采集和監(jiān)測,本實踐使用雙機(jī), 遠(yuǎn)端單片機(jī)控制多路數(shù)據(jù)采集器,本地單片機(jī)控制遠(yuǎn)端單片機(jī),雙機(jī)通訊以 RS-232C 標(biāo)準(zhǔn)進(jìn)行,通過軟硬件的調(diào)試,該系統(tǒng)可以實現(xiàn)數(shù)據(jù)的處理、數(shù)據(jù)的 顯示、鍵盤輸入和系統(tǒng)報警等工作,試驗證明,系統(tǒng)是可行的 。 在計算機(jī)廣泛應(yīng)用的今天,數(shù)據(jù)采集的重要性是十分顯著的。數(shù)據(jù)采集, 是指從傳感器和其它待測設(shè)備等模擬和數(shù)字被測單元中自動采集信息的過程。 數(shù)據(jù)采集系統(tǒng)是結(jié)合基于計算機(jī)的測量軟硬件產(chǎn)品來實現(xiàn)靈活的、用戶自定義 的測量系統(tǒng)。它是計

49、算機(jī)與外部物理世界連接的橋梁。各種類型信號采集的難 易程度差別很大。實際采集時,噪聲也可能帶來一些麻煩。數(shù)據(jù)采集時,有一 些基本原理要注意,還有更多的實際的問題要解決。 本系統(tǒng)采用雙 CPU 控制,主機(jī)與從機(jī)的 CPU 都是使用單片機(jī)。從 CPU 負(fù)責(zé) 采集七路數(shù)據(jù),同時應(yīng)答主 CPU 發(fā)送的命令。主 CPU 進(jìn)行數(shù)據(jù)處理,數(shù)據(jù)顯示, 鍵盤輸入,系統(tǒng)報警,語音播報通道的電壓值。在主 CPU 與從 CPU 的通訊中, 采用國際標(biāo)準(zhǔn)的 RS232C 接口,且用線最少(只要兩根)。本系統(tǒng)實現(xiàn)了一種具 有語音播報、語音提示的高性能、高智能的實用型遠(yuǎn)距離多路數(shù)據(jù)采集系統(tǒng)。 工業(yè)測量系統(tǒng)常常必須對來自多個

50、信號源的信號進(jìn)行數(shù)字化處理,可采用 幾種方式來實現(xiàn)這種處理。模擬多路復(fù)用器(MUX)在來自 8 個模擬傳感器的輸入 信號中進(jìn)行選擇,然后 MUX 將輸出信號饋送給信號調(diào)節(jié)放大器,信號調(diào)節(jié)放大 器將輸出信號饋送給模數(shù)轉(zhuǎn)換器(ADC)。目前普遍采用集成了多路復(fù)用器和 ADC 的 IC,但也可以購買分離的元件。 系統(tǒng)功能 1、實現(xiàn)現(xiàn)場模擬信號產(chǎn)生器,即通過自制一正弦波信號發(fā)生器,利用可變 電阻改變振蕩頻率,使頻率在 200Hz2kHz 范圍變化,再經(jīng)頻率電壓變換后輸 出相應(yīng) 15v 直流電壓(200Hz 對應(yīng) 1v,2kHz 對應(yīng) 5v) 2、路數(shù)據(jù)采集器數(shù)據(jù)采集器第一路輸入自制 0V5V 直流電壓

51、,第 27 路 分別輸入來自直流源的 5V、4V、3V、2V、1V、0V 直流電壓(各路輸入可由分壓 器產(chǎn)生,不要求精度)。將各路模擬信號分別轉(zhuǎn)換成 8 位二進(jìn)制數(shù)字信號,在 經(jīng)并/串變換電路,用串行碼送入傳輸線路。 3、主控器通過串行傳輸線路對各路數(shù)據(jù)進(jìn)行 采集和顯示。采集方式包括 循環(huán)采集(即 1 路、2 路7 路、1 路)和選擇采集(任選一路)二種方 式。顯示部分能同時顯示地址和相應(yīng)的數(shù)據(jù)。 4、主機(jī)實現(xiàn)語音操作提示和播放各通道電壓的功能,故障報警功能。 設(shè)計前的準(zhǔn)備工作 研制者接到某項任務(wù)后,在進(jìn)行具體設(shè)計之前,一般需先進(jìn)行下列工作: 1、可行性調(diào)研 可行性調(diào)研的目的,是分析完成這個項

52、目的可能性。進(jìn)行這方面的工作, 可參考國內(nèi)外有關(guān)資料,看是否有人進(jìn)行過類似的工作。如果有,則可分析他 人是如何進(jìn)行這方面工作的,有什么優(yōu)點和缺點,有什么是值得借鑒的;如果 沒有,則需作進(jìn)一步的調(diào)研,此時的重點應(yīng)放在能否實現(xiàn)這個環(huán)節(jié),首先從理 論上進(jìn)行分析,探討實現(xiàn)的可能性 ,所要求的客觀條件是否具備(如環(huán)境、測 試手段、儀器設(shè)計、資金等),然后結(jié)合實際情況,再決定能否立項的問題。 2、系統(tǒng)總體方案設(shè)計 在進(jìn)行可行性調(diào)研后,如果可以立項,下一步工作就是系統(tǒng)總體方案的設(shè) 計。工作的重點應(yīng)放在該項目的技術(shù)難度上,此時可參考這一方面更詳細(xì)、更 具體的資料,根據(jù)系統(tǒng)的不同部分和要實現(xiàn)的功能,參考國內(nèi)外同

53、類產(chǎn)品的性 能,提出合理而可行的技術(shù)指標(biāo),編寫出設(shè)計任務(wù)書,從而完成系統(tǒng)總體方案 設(shè)計。 3、設(shè)計方案細(xì)化,確定軟硬件功能 一旦總體方案決定下來,下一步的工作就是將該項目細(xì)化,即需明確哪些 部分用硬件來完成 ,哪些部分用軟件來完成。由于硬件結(jié)構(gòu)與軟件方案會相互 影響,因此,從簡化電路結(jié)構(gòu)、降低成本、減少故障率、提高系統(tǒng)的靈活性與 通用性方面考慮,提倡軟件能實現(xiàn)的功能盡可能由軟件來完成;但也應(yīng)考慮以 軟件代硬件的實質(zhì)是以降低系統(tǒng)實時性、增加處理進(jìn)行為代價的,而且軟件設(shè) 計費用、研制周期也將增加,因此系統(tǒng)的軟、硬件功能分配應(yīng)根據(jù)系統(tǒng)的要求 及實際情況而合理安排,統(tǒng)一考慮。在確定軟硬件功能的基礎(chǔ)上,設(shè)計者的工 作就開始涉及到一毓的具體問題,如儀器的體積及與具體技術(shù)指標(biāo)相對應(yīng)的硬 件實現(xiàn)方案,軟件的總體規(guī)劃等。在確定人員分工、安排工作進(jìn)度、規(guī)定接口 參數(shù)后,就必須考慮硬件、軟件的具體設(shè)計問題了。 在討論具體設(shè)計問題這前,這里還要強(qiáng)調(diào)一下,對于一個具體應(yīng)用系統(tǒng)的 設(shè)計,上面這幾部分工作是必不可少的,否則,可能導(dǎo)致設(shè)計方案的整體更改, 甚至可能導(dǎo)致方案無法實現(xiàn)造成人力、物力的浪費。這一點,對于設(shè)計得來講, 應(yīng)加倍注意。 一個單片機(jī)應(yīng)用系統(tǒng)的硬件設(shè)計包括兩大部分內(nèi)容:一是單片機(jī)系統(tǒng)的擴(kuò) 展部分設(shè)計。它包括存儲器擴(kuò)展和和接口擴(kuò)展。存儲器的擴(kuò)展指 EPR

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論