畢業(yè)設(shè)計(jì)(論文)基于DSP的FIR濾波器系統(tǒng)設(shè)計(jì)_第1頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于DSP的FIR濾波器系統(tǒng)設(shè)計(jì)_第2頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于DSP的FIR濾波器系統(tǒng)設(shè)計(jì)_第3頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于DSP的FIR濾波器系統(tǒng)設(shè)計(jì)_第4頁(yè)
畢業(yè)設(shè)計(jì)(論文)基于DSP的FIR濾波器系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩23頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于dsp的fir數(shù)字濾波器設(shè)計(jì)摘要21世紀(jì)是數(shù)字化的時(shí)代,隨著信息處理技術(shù)的飛速發(fā)展,數(shù)字信號(hào)處理技術(shù)逐漸發(fā)展成為一門(mén)主流技術(shù),它在電子信息、通信、軟件無(wú)線(xiàn)電、自動(dòng)控制、儀表技術(shù)、信息家電等高科技領(lǐng)域得到了越來(lái)越廣泛的應(yīng)用。相對(duì)于模擬濾波器,數(shù)字濾波器沒(méi)有漂移,能夠處理低頻信號(hào),頻率響應(yīng)特性可做成非常接近于理想的特性,且精度可以達(dá)到很高,容易集成等,這些優(yōu)勢(shì)決定了數(shù)字濾波器的應(yīng)用越來(lái)越廣泛。同時(shí)dsp(數(shù)字信號(hào)處理器)的出現(xiàn)和fpga的迅速發(fā)展也促進(jìn)了數(shù)字濾波器的發(fā)展,并為數(shù)字濾波器的硬件實(shí)現(xiàn)提供了更多的選擇。dsp 芯片是一種特別適合數(shù)字信號(hào)處理運(yùn)算的微處理器,主要用來(lái)實(shí)時(shí)、快速地實(shí)現(xiàn)各種

2、數(shù)字信號(hào)處理算法。用dsp 芯片實(shí)現(xiàn)fir 數(shù)字濾波器,不僅具有精確度高、不受環(huán)境影響等優(yōu)點(diǎn),而且因dsp 芯片的可編程性,可方便地修改濾波器參數(shù),從而改變?yōu)V波器的特性,設(shè)計(jì)十分靈活。本課題主要應(yīng)用matlab軟件設(shè)計(jì)fir數(shù)字濾波器,并對(duì)所設(shè)計(jì)的濾波器進(jìn)行仿真;應(yīng)用dsp集成開(kāi)發(fā)環(huán)境ccs調(diào)試匯編程序,用tms320c5416來(lái)實(shí)現(xiàn)了fir數(shù)字濾波。具體工作包括:對(duì)fir數(shù)字濾波器的基本理論進(jìn)行了分析和探討;采用matlab軟件來(lái)學(xué)習(xí)數(shù)字濾波器的基本知識(shí),計(jì)算數(shù)字濾波器的系數(shù),研究算法的可行性,對(duì)fir低通數(shù)字濾波器進(jìn)行前期的設(shè)計(jì)和仿真;系統(tǒng)介紹了ti公司tms320c54x系列數(shù)字信號(hào)處理

3、器的硬件結(jié)構(gòu)、性能特點(diǎn)和dsp的集成開(kāi)發(fā)環(huán)境ccs;應(yīng)用dsp集成開(kāi)發(fā)環(huán)境ccs調(diào)試匯編程序,用tms320c5416來(lái)實(shí)現(xiàn)了fir數(shù)字濾波。關(guān)鍵詞數(shù)字濾波器;dsp;fir(有限長(zhǎng)單位脈沖響應(yīng));matlabdesign of the digital filter based on dsp abstract21century is the era of digitalization. with the rapid development of information processing technology, digital signal processing technology has

4、 developed as a kind of main technology gradually. it has been widely applied in the high-tech fields of electronic information, communication, software radio, automatic control, instrument technology and information electrical household appliances, relative to analogue filter, digital filter can pr

5、ocess low frequency signal without excursion. frequency response property can be made very close to ideal property; its precision can reach very high, easy to be integrated and so on. these advantages determine the application of digital filter more and more widely. meanwhile, the appearance of digi

6、tal signal processor(dsp) and the rapid development of fpga also stimulate the development of digital filter, and provide more choices for the hardware realization of digital filter.dsp chips is a particularly suitable for digital signal processing computing microprocessors, mainly used for real-tim

7、e, the rapid realization of a variety of digital signal processing algorithms. dsp chips used to achieve fir digital filter, not only has high accuracy, without the advantages of environmental impact, but also because of the programmable dsp chips, can be easily modified filter parameters, thus chan

8、ging the filter characteristics of the design is very flexible.this project mainly applies the matlab software to design fir digital filter; imitates the designed filter; applies dsp integrated developing surroundings-ccs to debug assembler; uses tms320c5416 to realize fir digital filter. the specif

9、ic works include: analyzing and discussing basic theories of fir digital filter; using the matlab software to learn basic knowledge of digital filter: calculating the coefficient of digital filter; studying the feasibility of the way of calculation; designing and imitating the earlier stage of fir d

10、igital filter; introducing in a systematic way the hardware structure and characteristics of ti companys tms320c54x series of digital signal processor, and the integrated developing surroundings ccs of dsp: applying dsp integrated developing surroundings-ccs to debug assembler and using tms32oc54l6

11、to rea1ize fir digital filter.keywords digital filter;dsp;fir;matlab 不要?jiǎng)h除行尾的分節(jié)符,此行不會(huì)被打印ii- -目錄摘要iabstractii第1章 緒論11.1 數(shù)字濾波器的優(yōu)越性11.2 國(guó)內(nèi)外相關(guān)領(lǐng)域的相關(guān)進(jìn)展11.3 數(shù)字濾波器的實(shí)現(xiàn)方法21.4 主要研究?jī)?nèi)容2第2章 fir濾波器基礎(chǔ)32.1 fir濾波器的特點(diǎn)32.2 fir濾波器的設(shè)計(jì)方法32.2.1 利用窗函數(shù)法設(shè)計(jì)fir濾波器32.2.2 用頻率抽樣法設(shè)計(jì)fir濾波器52.2.3 利用切比雪夫逼近法設(shè)計(jì)fir濾波器62.3 fir濾波器的實(shí)現(xiàn)方法72.3.

12、1 fir濾波器的實(shí)現(xiàn)結(jié)構(gòu)72.3.2 fir濾波器的實(shí)現(xiàn)方法72.4 本章小結(jié)8第3章 基于matlab的fir濾波器的設(shè)計(jì)93.1 matlab簡(jiǎn)介93.2 基于matlab的fir濾波器的設(shè)計(jì)93.2.1 設(shè)計(jì)要求和指標(biāo)93.2.2 設(shè)計(jì)過(guò)程及結(jié)果93.3 fir濾波器的matlab仿真113.4 本章小結(jié)12第4章 數(shù)字濾波器的dsp實(shí)現(xiàn)134.1 dsp的基本特征134.2 tms320c54xdsp的硬件結(jié)構(gòu)144.2.1 總線(xiàn)結(jié)構(gòu)和中央處理單元144.2.2 內(nèi)部存儲(chǔ)器及片內(nèi)外設(shè)154.3 dsp系統(tǒng)的設(shè)計(jì)與開(kāi)發(fā)164.3.1 dsp系統(tǒng)的特點(diǎn)184.3.2 fir匯編程序及cc

13、s調(diào)試184.3.3 fir濾波器的dsp實(shí)現(xiàn)204.3.4 本章小結(jié)21結(jié)論22參考文獻(xiàn)23千萬(wàn)不要?jiǎng)h除行尾的分節(jié)符,此行不會(huì)被打印。在目錄上點(diǎn)右鍵“更新域”,然后“更新整個(gè)目錄”。打印前,不要忘記把上面“abstract”這一行后加一空行- iii -第1章 緒論1.1 數(shù)字濾波器的優(yōu)越性21世紀(jì)是數(shù)字化的時(shí)代,隨著越來(lái)越多的電子產(chǎn)品將數(shù)字信號(hào)處理(dsp)作為技術(shù)核心,dsp已經(jīng)成為推動(dòng)數(shù)字化進(jìn)程的動(dòng)力。作為數(shù)字化最重要的技術(shù)之一,dsp無(wú)論在其應(yīng)用的深度還是廣度,正在以前所未有的速度向前發(fā)展。數(shù)字信號(hào)處理器,也稱(chēng)dsp芯片,是針對(duì)數(shù)字信號(hào)處理需要而設(shè)計(jì)的一種具有特殊結(jié)構(gòu)的微處理器。隨著

14、信息處理技術(shù)的飛速發(fā)展,數(shù)字信號(hào)處理技術(shù)逐漸發(fā)展成為一門(mén)主流技術(shù),它在電子信息、通信、軟件無(wú)線(xiàn)電、自動(dòng)控制、儀表技術(shù)、信息家電等高科技領(lǐng)域得到了越來(lái)越廣泛的應(yīng)用。數(shù)字濾波器又分為無(wú)限沖激響應(yīng)濾波器(iir)和有限沖激響應(yīng)濾波器(fir)。fir濾波器具有不含反饋環(huán)路、結(jié)構(gòu)簡(jiǎn)單以及可以實(shí)現(xiàn)的嚴(yán)格線(xiàn)性相位等優(yōu)點(diǎn),因而在對(duì)相位要求比較嚴(yán)格的條件下,采用fir數(shù)字濾波器。同時(shí),由于在許多場(chǎng)合下,需要對(duì)信號(hào)進(jìn)行實(shí)時(shí)處理,因而對(duì)于單片機(jī)的性能要求也越來(lái)越高。而普通的單片機(jī)例如mcs-51難以滿(mǎn)足這一要求。用可編程dsp芯片實(shí)現(xiàn)數(shù)字濾波的又一優(yōu)勢(shì)是:通過(guò)修改濾波器的參數(shù)十分方便的改變?yōu)V波器的特性。有限長(zhǎng)單位

15、沖激響應(yīng)(fir)數(shù)字濾波器,與傳統(tǒng)的通過(guò)硬件電路實(shí)現(xiàn)的模擬濾波器相比有以下優(yōu)點(diǎn):1簡(jiǎn)化了硬件電路的設(shè)計(jì),提高了硬件電路的集成度和可靠性。2對(duì)干擾信號(hào)的抑制能力有了明顯提高,這對(duì)系統(tǒng)的控制精度和穩(wěn)定性的提高起到了促進(jìn)作用。3數(shù)字濾波器的參數(shù)調(diào)節(jié)比起模擬濾波器來(lái)更加方便、靈活。4數(shù)字濾波器可以實(shí)現(xiàn)數(shù)據(jù)的并行處理,提高了系統(tǒng)運(yùn)行速度。1.2 國(guó)內(nèi)外相關(guān)領(lǐng)域的相關(guān)進(jìn)展在國(guó)內(nèi)外的研究中,設(shè)計(jì)fir濾波器所涉及的乘法運(yùn)算方式有:并行乘法、位串行乘法和采用分布式算法的乘法。并行乘法運(yùn)行速度快,但占用的硬件資源極大。如果濾波器的階數(shù)增加,乘法器位數(shù)也將變大,硬件規(guī)模將變得十分龐大。位串行乘法器的實(shí)現(xiàn)方法主要

16、是通過(guò)對(duì)乘法運(yùn)算進(jìn)行分解,用加法器來(lái)完成乘法的功能,也即無(wú)乘法操作的乘法器。位串行乘法器使得乘法器的硬件規(guī)模達(dá)到了最省,但是由于是串行運(yùn)算,使得它的運(yùn)算周期過(guò)長(zhǎng),運(yùn)算速度與硬件規(guī)模綜合考慮時(shí)不是最優(yōu)的。分布式算法(distributed arithmetic,da)的主要特點(diǎn)是巧妙的利用rom查找表將固定系數(shù)的乘累加(multiply-accumulator,mac)運(yùn)算轉(zhuǎn)化為查表操作,它與傳統(tǒng)算法實(shí)現(xiàn)乘累加運(yùn)算的不同在于執(zhí)行部分積運(yùn)算的先后順序不同。分布式算法在完成乘累加功能時(shí)是通過(guò)將各輸入數(shù)據(jù)每一對(duì)應(yīng)位產(chǎn)生的部分積預(yù)先進(jìn)行相加形成相應(yīng)的部分積,然后再對(duì)各個(gè)部分積累加形成最終結(jié)果,而傳統(tǒng)算法

17、是等到所有乘積已經(jīng)產(chǎn)生之后再來(lái)相加來(lái)完成乘累加運(yùn)算的。da算法設(shè)計(jì)的fir濾波器的速度可以顯著的超過(guò)基于mac的設(shè)計(jì)。相對(duì)于前兩種方法,da算法既可以全并行實(shí)現(xiàn),又可以全串行實(shí)現(xiàn),還可以串并行結(jié)合實(shí)現(xiàn),可以在硬件規(guī)模和濾波器速度之間作適當(dāng)?shù)恼壑校菙?shù)字濾波器的主要研究課題。1.3 數(shù)字濾波器的實(shí)現(xiàn)方法目前數(shù)字濾波器的主要實(shí)現(xiàn)方法有:1在通用的微型計(jì)算機(jī)上用軟件實(shí)現(xiàn)。軟件可以是自己編寫(xiě)的,也可以使用現(xiàn)成的軟件包,這種方法的缺點(diǎn)是速度太慢,不能用于實(shí)時(shí)系統(tǒng),只能用于教學(xué)和算法的仿真研究。2用 dsp(digital signal processing)處理器實(shí)現(xiàn)dsp處理器是專(zhuān)為數(shù)字信號(hào)處理而設(shè)計(jì)

18、的,如ti公司的tms320c54x系列,ad公司的adsp2ix,adsp210x系列等。它的主要數(shù)字運(yùn)算單元是一個(gè)乘累加器(multiply-accumulator,mac),能夠在一個(gè)機(jī)器周期內(nèi)完成一次乘累加運(yùn)算,配有適合于信號(hào)處理的指令,具備獨(dú)特的循環(huán)尋址和倒序?qū)ぶ纺芰Α_@些特點(diǎn)都非常適合數(shù)字信號(hào)處理中的濾波器設(shè)計(jì)的有效實(shí)現(xiàn),并且它速度快、穩(wěn)定性好、編程方便。3用固定功能的專(zhuān)用信號(hào)處理器實(shí)現(xiàn)專(zhuān)用信號(hào)處理器采用專(zhuān)用集成電路asic(application specific integrated circuits)實(shí)現(xiàn),適用于過(guò)程固定而又追求高速的信號(hào)處理任務(wù),是以指定的算法來(lái)確定它的結(jié)構(gòu)

19、,使用各種隨機(jī)邏輯器件組成的信號(hào)處理器。它們體積小、保密性好,具有極高的性能,但靈活性差。4用fpga等可編程器件來(lái)開(kāi)發(fā)數(shù)字濾波算法。比較以上方法可見(jiàn):可以采用matlab等軟件來(lái)學(xué)習(xí)數(shù)字濾波器的基本知識(shí),計(jì)算數(shù)字濾波器的系數(shù),研究算法的可行性,對(duì)數(shù)字濾波器進(jìn)行前期的設(shè)計(jì)和仿真。而后,用dsp處理器或fpga進(jìn)行數(shù)字濾波的硬件實(shí)現(xiàn)。本課題設(shè)計(jì)的fir數(shù)字濾波器就是用matlab進(jìn)行設(shè)計(jì)和仿真,用dsp處理器來(lái)實(shí)現(xiàn)。1.4 主要研究?jī)?nèi)容本課題主要應(yīng)用matlab軟件設(shè)計(jì)fir數(shù)字濾波器,并對(duì)所設(shè)計(jì)的濾波器進(jìn)行仿真:應(yīng)用dsp集成開(kāi)發(fā)環(huán)境ccs調(diào)試匯編程序,用tms320c5416來(lái)實(shí)現(xiàn)了fir數(shù)

20、字濾波。具體工作包括:對(duì)fir數(shù)字濾波器的基本理論進(jìn)行了分析和探討;采用matlab軟件來(lái)學(xué)習(xí)數(shù)字濾波器的基本知識(shí),對(duì)fir低通數(shù)字濾波器進(jìn)行前期的設(shè)計(jì)和仿真;系統(tǒng)介紹了ti公司tms320c54x系列數(shù)字信號(hào)處理器的硬件結(jié)構(gòu)、性能特點(diǎn)和dsp的集成開(kāi)發(fā)環(huán)境ccs;應(yīng)用dsp集成開(kāi)發(fā)環(huán)境ccs調(diào)試匯編程序,用tms320c5416來(lái)實(shí)現(xiàn)了fir數(shù)字濾波。第2章 fir濾波器基礎(chǔ)2.1 fir濾波器的特點(diǎn)在數(shù)字信號(hào)處理應(yīng)用中往往需要設(shè)計(jì)線(xiàn)性相位的濾波器,fir濾波器在保證幅度特性滿(mǎn)足技術(shù)要求的同時(shí),很容易做到嚴(yán)格的線(xiàn)性相位特性。fir濾波器不斷地對(duì)輸入樣本x(n)延時(shí)后,再作乘法累加算法,將濾波

21、結(jié)果y(n)輸出,因此,fir實(shí)際上是一種乘法累加運(yùn)算。在數(shù)字濾波器中,fir濾波器的最主要的特點(diǎn)是沒(méi)有反饋回路,故不存在不穩(wěn)定的問(wèn)題;同時(shí),可以在幅度特性是隨意設(shè)置的同時(shí),保證精確的線(xiàn)性相位。穩(wěn)定和線(xiàn)性相位特性是fir濾波器的突出優(yōu)點(diǎn)。另外,它還有以下特點(diǎn):設(shè)計(jì)方式是線(xiàn)性的;硬件容易實(shí)現(xiàn);濾波器過(guò)渡過(guò)程具有有限區(qū)間;相對(duì)iir濾波器而言,階次較高,其延遲也要比同樣性能的iir濾波器大得多。2.2 fir濾波器的設(shè)計(jì)方法fir濾波器的設(shè)計(jì)方法主要有窗函數(shù)設(shè)計(jì)法和頻率抽樣設(shè)計(jì)法等,其中窗函數(shù)設(shè)計(jì)法是最基本的設(shè)計(jì)方法。在設(shè)計(jì)fir濾波器中,一個(gè)最重要的計(jì)算就是加窗,采用矩形窗是最直接和簡(jiǎn)便的方法,

22、但采用矩形窗存在較大的gibbis效應(yīng),且矩形窗的第一旁瓣與主瓣相比僅衰減 13db,因此實(shí)際設(shè)計(jì)中一般采用其他窗函數(shù)。本小節(jié)主要介紹幾種常用的窗函數(shù)和頻率抽樣設(shè)計(jì)法等。2.2.1 利用窗函數(shù)法設(shè)計(jì)fir濾波器1窗函數(shù)法的基本思想窗函數(shù)設(shè)計(jì)的基本思想是要選取某一種合適的理想頻率選擇性濾波器,然后將它的脈沖響應(yīng)截?cái)嘁缘玫揭粋€(gè)線(xiàn)性相位和因果的fir濾波器。因此這種方法的重點(diǎn)在于選擇某種合適的窗函數(shù)和一種理想濾波器。對(duì)于給定的濾波器技術(shù)指標(biāo),選擇濾波器長(zhǎng)度和具有最窄主瓣寬度和盡可能小的旁瓣衰減的某個(gè)窗函數(shù)。任何數(shù)字濾波器的頻率響應(yīng)都是的周期函數(shù),它的傅立葉級(jí)數(shù)展開(kāi)式為: (21)其中 (22)其中的

23、為濾波器的歸一化的截止頻率。傅立葉系數(shù)實(shí)際上就是理想數(shù)字濾波器的沖激響應(yīng)。窗函數(shù)法就是用被稱(chēng)為窗函數(shù)的有限加權(quán)系列來(lái)修正式(22)的傅立葉級(jí)數(shù),以求得要求的有限沖激響應(yīng)序列,即有: (23)是有限長(zhǎng)序列,當(dāng)及時(shí),。2幾種常用的窗函數(shù)1漢寧(hanning)窗漢寧窗又稱(chēng)升余弦窗。 (24)利用傅里葉變換特性,可得 (25)當(dāng)時(shí),所以窗函數(shù)的幅頻函數(shù)為 (26)這三部分之和,使旁瓣互相抵消,能量更集中在主瓣,它的最大旁瓣值比主瓣值約低31db。但是代價(jià)是主瓣寬度比矩形窗的主瓣寬度增加一倍,即為 。2海明(hamming)窗海明窗又稱(chēng)改進(jìn)的升余弦窗。把升余弦窗加以改進(jìn),可以得到旁瓣更小的效果,窗形式

24、為 (27)的頻率響應(yīng)的幅度特性為 (28)與漢寧窗相比,主瓣寬度相同,為,但旁瓣又被進(jìn)一步壓低,結(jié)果可將99.963%的能量集中在窗譜的主瓣內(nèi),它的最大旁瓣值比主瓣值約低41db。3布萊克曼(blackman)窗布萊克曼窗又稱(chēng)二階升余弦窗。為了進(jìn)一步抑制旁瓣,對(duì)升余弦窗函數(shù)再加上一個(gè)二次諧波的余弦分量,變成布拉克曼窗,故又稱(chēng)二階升余弦窗。 (29)的頻率響應(yīng)的幅度特性為 (210)4凱塞(kaiser)窗這是一種適應(yīng)性較強(qiáng)的窗,是一種最優(yōu)和最有用的窗。其公式為: (211)式中,是第一類(lèi)變形零階貝塞爾函數(shù),是一個(gè)可自由選擇的參數(shù)。凱塞窗的優(yōu)點(diǎn):1凱塞窗可提供變化的過(guò)渡帶寬,通過(guò)改變的值可達(dá)到

25、最陡的過(guò)渡帶;2凱塞窗具有與海明窗相匹敵的特性,通過(guò)調(diào)整的值,可將凱塞窗完全等價(jià)于海明窗;3凱塞窗最大旁瓣值比主瓣約低80db,在所有的窗函數(shù)中旁瓣抑制度最高。綜合以上窗函數(shù)特點(diǎn),選用最優(yōu)和適應(yīng)性較強(qiáng)的凱塞窗來(lái)設(shè)計(jì)fir濾波器。2.2.2 用頻率抽樣法設(shè)計(jì)fir濾波器所謂頻率抽樣法就是從頻域出發(fā),根據(jù)頻域的采樣定理,對(duì)給定的理想濾波器的頻域響應(yīng)進(jìn)行等間隔采樣45 (212)把當(dāng)作待設(shè)計(jì)的濾波器頻率響應(yīng)的采樣值,通過(guò)下式可求出濾波器的系統(tǒng)函數(shù)和頻率響應(yīng): (213) (214)其中,是一個(gè)內(nèi)插函數(shù): (215)由于頻譜的有限個(gè)采樣值恢復(fù)出來(lái)的頻率響應(yīng)實(shí)際上是對(duì)理想頻率響應(yīng)的逼近,因此,這種方法必

26、然有一定的逼近誤差。若被逼近的頻率響應(yīng)比較平滑,則各采樣點(diǎn)之間的逼近誤差較??;反之,則逼近誤差較大。2.2.3 利用切比雪夫逼近法設(shè)計(jì)fir濾波器上述兩種方法設(shè)計(jì)的fir濾波器的頻率響應(yīng)都不很理想,即通帶不夠平,阻帶衰減不夠大,過(guò)渡帶過(guò)寬,頻率邊緣不能精確指定。chebyshev方法是最佳一致逼近法。數(shù)字濾波器頻域設(shè)計(jì)的最優(yōu)方法等波紋切比雪夫法,是采用最大誤差最小準(zhǔn)則得到最優(yōu)數(shù)字濾波器,而且其最優(yōu)解唯一。對(duì)于i型fir數(shù)字濾波器,其頻響可表示為: (216)其中,為濾波器系數(shù),m為濾波器階數(shù)。(216)式中的項(xiàng)可表示為不同冪次之和,形式為,這里是n次切比雪夫多式,。 故,可改寫(xiě) (217)其中

27、,是與相關(guān)的常數(shù)。我們定義逼近誤差函數(shù)為: (218)其中,為加權(quán)函數(shù),要求、及只在區(qū)間有定義。最大誤差最小準(zhǔn)則即是在所要求頻域上找出使 (218)式的最大加權(quán)逼近誤差達(dá)最小的頻響。其表達(dá)式為 (219) (220)為最優(yōu)誤差。(219)、(220)式說(shuō)明逼近誤差至少要有l(wèi)+2交錯(cuò)點(diǎn),從而使最小,唯一。由(217)、(219)式可以解出系數(shù)組和。另一種更為有效的方法是多項(xiàng)式內(nèi)插公式,可求得 (221)其中,也即若由滿(mǎn)足(217)、(219)式確定的并且由(221)式給出,則誤差函數(shù)就會(huì)通過(guò)(l+2)個(gè)頻率上的處。而為避免求解復(fù)雜方程組(217)、(219)來(lái)得出系數(shù),有 (222)其中,這里令

28、,。通過(guò)(222)式可計(jì)算通帶和阻帶中多處頻域的和值。若對(duì)通帶和阻帶中的所有,都有,則說(shuō)明已達(dá)到最佳逼近。否則,需計(jì)算出新的極值頻率。2.3 fir濾波器的實(shí)現(xiàn)方法本小節(jié)主要介紹fir濾波器的基本結(jié)構(gòu)和常用的濾波器的硬件實(shí)現(xiàn)方法。2.3.1 fir濾波器的實(shí)現(xiàn)結(jié)構(gòu)fir濾波器的傳遞函數(shù)一般有如下形式: (223)其基本結(jié)構(gòu)有以下幾種:直接型,級(jí)聯(lián)型,線(xiàn)性相位型,頻率采樣型。1直接型直接型也稱(chēng)卷積型或橫截型,稱(chēng)為卷積型,是因差分方程是信號(hào)的卷積形式;稱(chēng)為橫截型,是因?yàn)闉V波器是一條輸入延時(shí)鏈的橫向結(jié)構(gòu)。直接由差分方程可畫(huà)出對(duì)應(yīng)的網(wǎng)絡(luò)結(jié)構(gòu)。其結(jié)構(gòu)圖如圖21所示。 (224)式中,為實(shí)數(shù);為輸入序列,

29、為輸出序列,單位采樣響應(yīng)。直接型結(jié)構(gòu)的特點(diǎn)是:優(yōu)點(diǎn):簡(jiǎn)單直觀,乘法運(yùn)算量較少;缺點(diǎn):調(diào)整零點(diǎn)較難。2級(jí)聯(lián)型(串聯(lián)型)當(dāng)需要控制濾波器的傳輸零點(diǎn)時(shí),可將傳遞函數(shù)分解為二階實(shí)系數(shù)因子的形式: (225)式中,為的變換;,為實(shí)數(shù)。該結(jié)構(gòu)的缺點(diǎn)是:所需要的系數(shù)比直接型的多;需要進(jìn)行因式分解,而且較直接型需要更多的乘法器,乘法運(yùn)算多于直接型。3線(xiàn)性相位型fir濾波器的重要特點(diǎn)是可設(shè)計(jì)成具有嚴(yán)格線(xiàn)性相位的濾波器,其單位沖擊響應(yīng)有如下特性: (226)當(dāng)n為偶數(shù)時(shí), (227)其網(wǎng)絡(luò)結(jié)構(gòu)(信號(hào)流圖)如圖2-3(a)所示當(dāng)n為奇數(shù), (228)該結(jié)構(gòu)的優(yōu)點(diǎn)是:簡(jiǎn)化網(wǎng)絡(luò)結(jié)構(gòu)。4頻率采樣型系統(tǒng)函數(shù)在單位圓上作n等

30、分取樣就是單位取樣響應(yīng)的離散傅里葉變換。與系統(tǒng)函數(shù)之間的關(guān)系可用內(nèi)插公式表示: (229)其中,頻率采樣型結(jié)構(gòu)的優(yōu)點(diǎn):1選頻性好,適于窄帶濾波,這時(shí)大部分為零,只有較少的二階子網(wǎng)絡(luò);2不同的fir濾波器,若長(zhǎng)度相同,可通過(guò)改變系數(shù)用同一個(gè)網(wǎng)絡(luò)實(shí)現(xiàn);3復(fù)用性好。缺點(diǎn):1具體實(shí)現(xiàn)時(shí)難免存在誤差,零、極點(diǎn)可能不能正好抵消,造成系統(tǒng)不穩(wěn)定;2結(jié)構(gòu)復(fù)雜,采用的存貯器多。fir濾波器常表示為直接型和轉(zhuǎn)置型兩種結(jié)構(gòu),兩種結(jié)構(gòu)的功能是等效的。直接型結(jié)構(gòu)的優(yōu)點(diǎn)有兩個(gè),一是移位寄存器存儲(chǔ)的是位寬較小的輸入數(shù)據(jù);二是當(dāng)fir濾波器為線(xiàn)性相位時(shí),可以利用其系數(shù)對(duì)稱(chēng)的特點(diǎn),將乘法器個(gè)數(shù)減半,加法器個(gè)數(shù)不變。目前用資源豐

31、富的fpga等硬件設(shè)計(jì)長(zhǎng)階數(shù)fir濾波器時(shí),幾乎都采用轉(zhuǎn)置型結(jié)構(gòu),數(shù)據(jù)格式都是定點(diǎn)型的。2.4 本章小結(jié)本章主要介紹了fir濾波器設(shè)計(jì)的理論基礎(chǔ),分別介紹了fir濾波器的定義與分類(lèi)、fir濾波器的幾種設(shè)計(jì)方法和實(shí)現(xiàn)方法,為后面fir濾波器的設(shè)計(jì)奠定理論基礎(chǔ)。第3章 基于matlab的fir濾波器的設(shè)計(jì)3.1 matlab簡(jiǎn)介 matlab語(yǔ)言是當(dāng)今國(guó)際上科學(xué)界最具影響力、也是最有活力的軟件之一。在matlab中提供了一些濾波器的函數(shù),使fir濾波器的運(yùn)算更加方便和快速。新版本的matlab軟件(matlab7.l)己經(jīng)集成了ti公司的c2000,c5000,c6000系列dsp的開(kāi)發(fā)工具包,支

32、持c24x及c28x系列的dsp處理器。在c24x系列dsp工具箱中包含了dsp處理器中的模/數(shù)轉(zhuǎn)換adc,can發(fā)送及接收、pwm控制等模塊。3.2 基于matlab的fir濾波器的設(shè)計(jì)在本小節(jié)中將使用matlab來(lái)進(jìn)行fir數(shù)字濾波器的設(shè)計(jì)。matlab中的fdatool工具集成了數(shù)字濾波器的各種設(shè)計(jì)方法,簡(jiǎn)化了設(shè)計(jì)過(guò)程。本文利用matlab中的fdatool工具設(shè)計(jì)一個(gè)fir數(shù)字低通濾波器。3.2.1 設(shè)計(jì)要求以下列輸入信號(hào)為例:;設(shè)計(jì)一個(gè)fir數(shù)字低通濾波器,濾除和的信號(hào)成分。3.2.2 濾波器指標(biāo)根據(jù)采樣定律,采樣頻率應(yīng)大于或等于所有信號(hào)頻率成分中,最大頻率的兩倍。取采樣頻率。所設(shè)計(jì)

33、fir數(shù)字濾波器的邊界頻率應(yīng)滿(mǎn)足:,取通帶截止頻率,阻帶截止頻率。3.2.3 設(shè)計(jì)過(guò)程matlab集成了一套功能強(qiáng)大的濾波器設(shè)計(jì)工具fdatool,可以完成多種濾波器的設(shè)計(jì)、分析和性能評(píng)估。1啟動(dòng)fdatool:打開(kāi)的fdatool界面,如3-1圖所示:2濾波器設(shè)計(jì):濾波器類(lèi)型 (filter type)包括:低通(lowpass)、高通(highpass)、帶通(bandpass)和帶阻(bandstop)。選為低通(lowpass)。設(shè)計(jì)方法 (design method):選為窗函數(shù)法(window),采用凱塞(kaiser)窗函數(shù)設(shè)計(jì)濾波器。濾波器階數(shù) (filter order)包括

34、:指定階峰 (specify order)和最小階數(shù) (minimum order)。采樣頻率fs=lhz;通帶截止頻率fpass=0.lhz;阻帶截止頻率fstop=0.17hz;通帶衰減apass=ldb;阻帶衰減astop=40db。設(shè)計(jì)界面如圖3-2所示:圖3-1 fdatool界面圖3-2 design filter 界面3.2.4 設(shè)計(jì)結(jié)果由targets菜單下的generate c header 導(dǎo)出的內(nèi)容,可得到的系數(shù),并將系數(shù)保存在lwsdsp.m文件下。所設(shè)計(jì)的fir低通濾波器的幅頻響應(yīng)和相頻響應(yīng)如圖3-3所示。圖3-3(a) 量化前的fir濾波器的幅頻特性曲線(xiàn)圖3-3(b

35、) 量化前的fir濾波器的相頻特性曲線(xiàn)3.3 fir濾波器的matlab仿真為了驗(yàn)證所設(shè)計(jì)的濾波器是否滿(mǎn)足要求,用matlab進(jìn)行仿真。fir低通濾波器的仿真程序如下(文件名為lws.m):f1=0.08;f2=0.20;f3=0.36;t=1; %采樣間隔n=0:t:400; %采樣間隔t=1; 采樣頻率fs=1/t=1fs=1/t;kf=fs/2; %采樣頻率的一半。用于設(shè)計(jì)指標(biāo)歸一化x=sin(2*pi*f1*n)+sin(2*pi*f2*(n-2)-cos(2*pi*f3*(n+2); %產(chǎn)生輸入信號(hào)xk=fft(x); %輸入信號(hào)的頻譜分析hn=0.0248542880278, 0.

36、0006781669022092, -0.003856706668048,.-0.008136974066479, -0.007304458231634, 0.001291305836041,.0.0140814775831, 0.02135452836089, 0.01319288572559,.-0.01161455324808, -0.04017611664971, -0.04925259422531,.-0.01809149615118, 0.05671862793568, 0.1544288466202,.0.2374476040317, 0.27, 0.2374476040317,

37、.0.1544288466202, 0.05671862793568, -0.01809149615118,.-0.04925259422531, -0.04017611664971, -0.01161455324808,.0.01319288572559, 0.02135452836089, 0.0140814775831,.0.001291305836041, -0.007304458231634, -0.008136974066479,.-0.003856706668048, 0.0006781669022092, 0.00248542880278y=filter(hn,1,x);yk=

38、fft(y);subplot(2,1,1);plot(n,abs(xk);subplot(2,1,2);plot(n,abs(yk);在matlab環(huán)境下運(yùn)行l(wèi)ws.m文件,可得3-4圖。圖3-4 fir濾波器的仿真結(jié)果圖3-4的上圖為輸入信號(hào)的頻譜圖,包括fl、f2、f3三個(gè)頻率成分,下圖是輸出信號(hào)的頻譜圖,只剩下了f1的頻率成分。從上下兩圖中可以看出,經(jīng)過(guò)濾波后輸入信號(hào)的兩個(gè)高頻成分f2、f3被濾除,說(shuō)明所設(shè)計(jì)的濾波器滿(mǎn)足要求。3.4 本章小結(jié)本章介紹了matlab軟件,及其fir數(shù)字濾波器的設(shè)計(jì)方法,并使用matlab進(jìn)行fir數(shù)字濾波器的設(shè)計(jì)和仿真。第4章 數(shù)字濾波器的dsp實(shí)現(xiàn)數(shù)字信

39、號(hào)處理器(digital signal processor)是一種適合對(duì)數(shù)字信號(hào)進(jìn)行高速實(shí)時(shí)處理的專(zhuān)用處理器,其主要用來(lái)實(shí)時(shí)快速地實(shí)現(xiàn)各種數(shù)字信號(hào)處理算法。在當(dāng)今的數(shù)字化時(shí)代,dsp己成為通信設(shè)備、計(jì)算機(jī)和其它電子產(chǎn)品的基礎(chǔ)器件。數(shù)字信號(hào)處理器與數(shù)字信號(hào)處理有著密不可分的關(guān)系,我們通常說(shuō)的“dsp”可以指數(shù)字信號(hào)處理(digital signal processing),也可以代表數(shù)字信號(hào)處理器(digital signal processor)在本文里均指數(shù)字信號(hào)處理器。數(shù)字信號(hào)處理器是用于處理數(shù)字信號(hào)的器件,因此它是伴隨著數(shù)字信號(hào)處理才產(chǎn)生的。4.1 dsp的基本特征本課題采用的是tms3

40、20c54x系列的tms320c5416dsp,具有改進(jìn)的哈佛結(jié)構(gòu)、硬件乘法器、流水線(xiàn)結(jié)構(gòu)、高效特殊指令集等優(yōu)點(diǎn),使它的處理速度和容量大大提高,為數(shù)字濾波中的復(fù)雜算法的實(shí)現(xiàn)提供了硬件的保證21。1哈佛總線(xiàn)結(jié)構(gòu)計(jì)算機(jī)的總線(xiàn)結(jié)構(gòu)可分為兩種。一種是馮諾依曼結(jié)構(gòu),其特點(diǎn)是程序和數(shù)據(jù)共用一個(gè)存儲(chǔ)空間。統(tǒng)一編址依靠指令計(jì)數(shù)器提供的地址來(lái)區(qū)分是指令數(shù)據(jù)還是地址。這種結(jié)構(gòu)允許取指令和取操作數(shù)并行進(jìn)行,增加了器件的運(yùn)算速度。2硬件乘法器在通用的計(jì)算機(jī)上,算術(shù)邏輯單元(alu)只能完成兩個(gè)操作數(shù)的加、減及邏輯運(yùn)算,而乘法(或除法)則是由加法和移位來(lái)實(shí)現(xiàn)。因此它們實(shí)現(xiàn)乘加運(yùn)算就比較慢,而在數(shù)字濾波算法中需要大量的乘

41、加運(yùn)算,在tms320c54xdsp中有一個(gè)硬件乘法器,可以在一個(gè)指令周期內(nèi)完成一次乘法和一次加法運(yùn)算,可以大大提高數(shù)字濾波系統(tǒng)的運(yùn)算速度。3流水線(xiàn)結(jié)構(gòu)tms320c54xdsp系列由于指令和操作數(shù)來(lái)自不同的空間,同一時(shí)刻,可以有四條指令的不同階段在并行處理,盡管每條指令執(zhí)行的時(shí)間仍然是幾個(gè)機(jī)器周期,但由于指令的流水作業(yè),實(shí)現(xiàn)了多條指令的并行執(zhí)行25。4高效指令集數(shù)字信號(hào)處理運(yùn)算的特點(diǎn)之一就是單一運(yùn)算的重復(fù)執(zhí)行,在通用的處理器中,一般采用軟件的方法來(lái)解決,基本方法是采用循環(huán)控制或指令重復(fù),循環(huán)控制除了在判斷行環(huán)次數(shù)及操作轉(zhuǎn)向上需要指令開(kāi)銷(xiāo)外,還會(huì)因dsp流水線(xiàn)的頻繁中斷而造成相當(dāng)大的開(kāi)銷(xiāo):指令

42、重復(fù)的代價(jià)是增加了程序代碼長(zhǎng)度,占用更多的存儲(chǔ)空間。4.1.1 總線(xiàn)結(jié)構(gòu)tms320c54x具有8條16位的總線(xiàn),其中包括4條程序/數(shù)據(jù)總線(xiàn)和4條地址總線(xiàn),各條總線(xiàn)的作用如下:1程序總線(xiàn)pb傳輸來(lái)自程序存儲(chǔ)器的指令代碼和立即數(shù)。2三條數(shù)據(jù)總線(xiàn)cb、db和eb分別與不同的單元互相連接,如中央處理單元、數(shù)據(jù)地址產(chǎn)生邏輯dagen、程序地址產(chǎn)生邏輯、片內(nèi)外設(shè)和數(shù)據(jù)存儲(chǔ)器。其中,cb和db傳輸從程序存儲(chǔ)器讀來(lái)的數(shù)據(jù);eb傳輸待寫(xiě)入存儲(chǔ)器的數(shù)據(jù)。3pab,cab,dab和 eab這4條地址總線(xiàn)傳輸指令執(zhí)行所需要的地址。4.1.2 中央處理單元tms320c54x的中央處理單元可以說(shuō)是dsp的核心,主要包

43、含以下幾個(gè)部分:一個(gè)算術(shù)邏輯單元,兩個(gè)累加器,定標(biāo)移位器,乘法/加法單元,比較選擇存儲(chǔ)單元(cssu)和cpu狀態(tài)和控制寄存器等。1算術(shù)邏輯單元(alu)tms320c54x利用一個(gè)40位的算術(shù)邏輯單元和兩個(gè)40位的累加器來(lái)執(zhí)行二進(jìn)制補(bǔ)碼算術(shù)運(yùn)算,alu也可以進(jìn)行布爾運(yùn)算。還可以把這個(gè)40位的alu看作為兩個(gè)16位的alu,來(lái)同時(shí)執(zhí)行兩個(gè)16位的操作。2cpu狀態(tài)和控制寄存器tms320c54x共有三個(gè)控制和狀態(tài)寄存器,對(duì)cpu的控制是通過(guò)cpu狀態(tài)和控制寄存器來(lái)完成的。分別為狀態(tài)寄存器0 (st0)、狀態(tài)寄存器1(st1)和處理器模式狀態(tài)寄存器(pmst)31。dsp不同條件和模式下的狀態(tài)都

44、包含st0和st1,pmst包含存儲(chǔ)器設(shè)置狀態(tài)和控制信息。由于這些寄存器是存儲(chǔ)器映像,所以可以像對(duì)數(shù)據(jù)存儲(chǔ)器操作那樣對(duì)它們進(jìn)行讀出和寫(xiě)入。在調(diào)用子程序或中斷服務(wù)子程序時(shí),可以將它們保存下來(lái),返回時(shí)再恢復(fù)。3累加器累加器a和b存儲(chǔ)來(lái)自alu或乘法/加法單元的輸出;同時(shí),它們也可以為alu提供另一個(gè)輸入;累加器a還可以為乘法器/加法器單元提供輸入。每個(gè)累加器可以分為保護(hù)位(位39位32)、高位字(位31位16)和低位字(位15位0)。系統(tǒng)提供的指令可以存儲(chǔ)保護(hù)位、高位字和低位字;累加器可以向數(shù)據(jù)存儲(chǔ)器讀出或?qū)懭?2位字;而且任一累加器可以作為另一個(gè)累加器的暫時(shí)存儲(chǔ)器。4定標(biāo)移位器定標(biāo)移位器的40位

45、的輸入來(lái)自累加器或數(shù)據(jù)存儲(chǔ)器(通過(guò)db或cb),其40位的輸出則通過(guò)eb傳至alu或數(shù)據(jù)存儲(chǔ)器。定標(biāo)移位器可以對(duì)輸入的數(shù)據(jù)進(jìn)行0到31位的左移和0到16位的右移,具體移位數(shù)由指令中的移位字段、狀態(tài)寄存器st1中的移位數(shù)字段asm或暫存寄存器t來(lái)提供。定標(biāo)移位器和指數(shù)編碼器可以在單周期內(nèi)對(duì)累加器中的數(shù)進(jìn)行歸一化操作。5乘法器/加法器單元tms320c54x的乘法器/加法器單元包含一個(gè)17x17位的硬件乘法器,一個(gè)40位的加法器,有符號(hào)輸入/無(wú)符號(hào)輸入控制邏輯,小數(shù)控制邏輯,一個(gè)零檢測(cè)器,一個(gè)圓整器,溢出/飽和邏輯和一個(gè)16位的暫存寄存器t。乘法器有兩個(gè)輸入一個(gè)輸入來(lái)自暫存寄存器t,或一個(gè)數(shù)據(jù)存儲(chǔ)

46、器操作數(shù),或者是累加器a;另一個(gè)輸入來(lái)自程序存儲(chǔ)器,或數(shù)據(jù)存儲(chǔ)器,或累加器a,或者是一個(gè)立即數(shù)。這個(gè)乘法器可以使得tms320c54x器件進(jìn)行高效的卷積、相關(guān)和濾波操作等。6比較選擇存儲(chǔ)單元cssu比較、選擇和存儲(chǔ)單元可以對(duì)累加器的高位字和低位字進(jìn)行比較,使?fàn)顟B(tài)寄存器st0中的測(cè)試/控制標(biāo)志位(tc)和轉(zhuǎn)換寄存器trn保持轉(zhuǎn)換記錄狀態(tài),并將累加器中的最大的數(shù)傳送至數(shù)據(jù)存儲(chǔ)器。當(dāng)選擇了合適的片內(nèi)硬件后,cssu還可以加速維特比(viterbi)蝶形運(yùn)算。4.1.3 內(nèi)部存儲(chǔ)器tms320c54x共有192k*16bit的尋址空間。這些空間被分為3個(gè)特定的存儲(chǔ)段:64k*16bit的程序存儲(chǔ)空間,

47、 64k*16bit的數(shù)據(jù)存儲(chǔ)空間, 64k*16bit的i/o空間。在任何一個(gè)空間內(nèi),ram,rom,eprom,eeprom或存儲(chǔ)器映像外設(shè)既可以駐留在片內(nèi),也可以駐留在片外26。程序存儲(chǔ)空間包含待執(zhí)行的指令和執(zhí)行中需要使用的數(shù)據(jù)表格;數(shù)據(jù)存儲(chǔ)空間包含程序指令所用到的數(shù)據(jù):i/o空間主要用來(lái)接外圍芯片,這些外圍芯片和dsp一起完成特定的功能。可以在系統(tǒng)中給這些外圍芯片分配不同的地址,dsp可以根據(jù)不同的地址來(lái)訪問(wèn)這些外設(shè)。如果內(nèi)部存儲(chǔ)器不夠用時(shí),i/o空間還可以作為dsp的外部數(shù)據(jù)存儲(chǔ)器來(lái)用。tms320c54x其片內(nèi)存儲(chǔ)器的種類(lèi)主要有以下幾種:雙訪問(wèn)ram(daram),單訪問(wèn)ram(s

48、aram)和rom。ram(包括daram和saram)一般映射在數(shù)據(jù)空間,但也可以映射在程序空間;rom映射在程序空間,但也可以部分地映射在數(shù)據(jù)空間。daram一般由若干塊構(gòu)成,由于每塊daram在一個(gè)機(jī)器周期內(nèi)可以被訪問(wèn)2次,中央處理單元和片內(nèi)外設(shè)在一個(gè)周期內(nèi)可以同時(shí)對(duì)其進(jìn)行一次讀和一次寫(xiě)操作32。4.1.4 片內(nèi)外設(shè)tms320c54x的片內(nèi)外設(shè)具有:1通用i/o引腳xf和biobio是一個(gè)輸入引腳,可以將外圍器件的某些引腳接到bio,dsp可以對(duì)它進(jìn)行實(shí)時(shí)監(jiān)視:xf是軟件可控制的輸出引腳,dsp可以用它作為外圍器件的控制信號(hào)24。2硬件定時(shí)器硬件定時(shí)器是一個(gè)帶4位預(yù)定標(biāo)的16位定時(shí)電路

49、。每過(guò)一個(gè)clkout周期,定時(shí)器做減1操作。當(dāng)計(jì)數(shù)減到0時(shí),產(chǎn)生一個(gè)定時(shí)器中斷。可以通過(guò)設(shè)置特定的位對(duì)定時(shí)器進(jìn)行停止、重啟、復(fù)位和禁止操作。3時(shí)鐘發(fā)生器時(shí)鐘發(fā)生器由一個(gè)內(nèi)部振蕩器和一個(gè)鎖相環(huán)電路組成。內(nèi)部時(shí)鐘發(fā)生器可以通過(guò)外接一晶振或直接接外部時(shí)鐘源而工作。鎖相環(huán)電路通過(guò)將外部頻率乘以一個(gè)因子而產(chǎn)生內(nèi)部cpu工作頻率。這可以使得從外部較低的時(shí)鐘頻率而產(chǎn)生較高的cpu內(nèi)部工作頻率。4主機(jī)接口(hpi)hpi是一個(gè)并行接口,它提供dsp和外部主處理器的接口。dsp和主處理器通過(guò)dsp的片內(nèi)存儲(chǔ)器交換信息,這塊片內(nèi)存儲(chǔ)器既可以被dsp訪問(wèn),也可以被主處理器訪問(wèn)。tms320c54x具有8位增強(qiáng)型的

50、主機(jī)接口34。5軟件可編程等待狀態(tài)發(fā)生器當(dāng)dsp與慢速設(shè)備接口時(shí),就可能要用到軟件可編程等待狀態(tài)發(fā)生器。它可以將dsp的外部總線(xiàn)周期擴(kuò)展到7個(gè)或14個(gè),這就使得dsp可以輕松地和各種慢速設(shè)備接口。6可編程存儲(chǔ)體切換邏輯當(dāng)訪問(wèn)過(guò)程跨越程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器存儲(chǔ)體的邊界時(shí),可編程存儲(chǔ)器組切換邏輯會(huì)自動(dòng)插入一個(gè)周期:當(dāng)在存儲(chǔ)操作過(guò)程中,由程序存儲(chǔ)器轉(zhuǎn)向數(shù)據(jù)存儲(chǔ)器時(shí),也會(huì)插入一個(gè)周期。這個(gè)額外的周期通過(guò)在其他器件開(kāi)始驅(qū)動(dòng)總線(xiàn)前允許存儲(chǔ)器釋放總線(xiàn)來(lái)避免總線(xiàn)競(jìng)爭(zhēng)。轉(zhuǎn)換的存儲(chǔ)體的大小由存儲(chǔ)體轉(zhuǎn)換控制寄存器(bscr)來(lái)確定。4.2 dsp系統(tǒng)的設(shè)計(jì)與開(kāi)發(fā)dsp系統(tǒng)的開(kāi)發(fā)是一個(gè)復(fù)雜的過(guò)程,在系統(tǒng)的設(shè)計(jì)和調(diào)試中

51、不但需要數(shù)字信號(hào)處理方面的理論知識(shí),而且還要熟悉掌握各種dsp芯片、外圍硬件電路以及dsp開(kāi)發(fā)工具等。4.2.1 dsp系統(tǒng)的特點(diǎn)dsp系統(tǒng)是以數(shù)字信號(hào)處理為基礎(chǔ)的,因此不但具有數(shù)字處理的全部?jī)?yōu)點(diǎn)而且還具有以下特點(diǎn)24:1接口方便:dsp應(yīng)用系統(tǒng)與其他以現(xiàn)代數(shù)字技術(shù)為基礎(chǔ)的系統(tǒng)或設(shè)備都是相互兼容的,這樣的系統(tǒng)接口以實(shí)現(xiàn)某種功能要比模擬系統(tǒng)與這些系統(tǒng)接口要容易得多。2編程方便:dsp應(yīng)用系統(tǒng)中的可編程dsp芯片,能靈活方便地進(jìn)行修改和升級(jí)。3穩(wěn)定性好:dsp應(yīng)用系統(tǒng)以數(shù)字處理為基礎(chǔ),受環(huán)境溫度及噪聲的影響較小、可靠性高,無(wú)器件老化現(xiàn)象。4精度高:16位數(shù)字系統(tǒng)可以達(dá)到10-5級(jí)的精度。5可重復(fù)性

52、好:模擬系統(tǒng)的性能受元器件參數(shù)性能變化的影響比較大,而數(shù)字系統(tǒng)基本不受影響,因此數(shù)字系統(tǒng)便于測(cè)試、調(diào)試和大規(guī)模生產(chǎn)。6集成方便:dsp應(yīng)用系統(tǒng)中的數(shù)字部件有高度的規(guī)范性,便于大規(guī)模集成。當(dāng)然,數(shù)字信號(hào)處理也存在一些缺點(diǎn)。例如,對(duì)于簡(jiǎn)單信號(hào)處理任務(wù),若采用dsp則使成本增加。dsp系統(tǒng)的設(shè)計(jì)流程一個(gè)dsp系統(tǒng)的設(shè)計(jì)過(guò)程大概要有以下幾個(gè)步驟。1根據(jù)系統(tǒng)的任務(wù)要求,確定系統(tǒng)處理精度要求、速度要求、實(shí)時(shí)性要求等性能指標(biāo)。2根據(jù)系統(tǒng)的要求進(jìn)行高級(jí)語(yǔ)言的算法模擬,比如使用matlab等仿真工具,驗(yàn)證算法的可行性,得出最佳的處理方法。3dsp的系統(tǒng)設(shè)計(jì),主要分為硬件設(shè)計(jì)和軟件設(shè)計(jì)。硬件設(shè)計(jì)是指根據(jù)系統(tǒng)要求選擇合適的dsp芯片,然后設(shè)計(jì)相應(yīng)的外圍電路。軟件設(shè)計(jì)主要是指根據(jù)系統(tǒng)的要求和選用的dsp芯片編寫(xiě)相應(yīng)的程序。dsp系統(tǒng)的開(kāi)發(fā)工具ccs,即 code composer studio,是ti公司在 1999年推出的一個(gè)開(kāi)放、具有強(qiáng)大集

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論