版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、xxxxxx課程設(shè)計報告電子線路設(shè)計課程設(shè)計報告24小時時鐘電路設(shè)計小組成員: xxxxxxxxxxxxxx 院 (系): 電氣信息工程學(xué)院 年級專業(yè): 20xx級電子信息工程 指導(dǎo)老師: xx xx xx 聯(lián)系電話: xxxxxxxxx 二xx年xx月電子線路課程設(shè)計 摘要摘 要本設(shè)計為24小時時鐘設(shè)計,具有時、分、秒計數(shù)顯示功能,以24小時循環(huán)計時的時鐘電路;具有時、分校準(zhǔn)以及清零的功能。本設(shè)計采用eda技術(shù),以硬件描述語言vhdl為系統(tǒng)邏輯描述手段設(shè)計文件,在quartus工具軟件環(huán)境下,采用自頂向下的設(shè)計方法,由各個基本模塊共同構(gòu)建了一個基于fpga的數(shù)字鐘。系統(tǒng)由主控模塊、分頻模塊、
2、譯碼模塊以及顯示組成。經(jīng)編譯和仿真所設(shè)計的程序,在可編程邏輯器件上下載驗證,本系統(tǒng)能夠完成時、分、秒的分別顯示,由按鍵輸入進(jìn)行數(shù)字鐘的清零功能。關(guān)鍵詞 時鐘,quartus,vhdl,fpga電子線路課程設(shè)計 abstractabstractthe design for the 24-hour clock design, with hours, minutes, seconds count display, a 24-hour cycle of the clock timing circuit; with hours, minutes, and cleared the calibration
3、function.this design uses eda technology to hardware description language vhdl description of the means for the system logic design documents, software tools in quartus environment, using top-down design approach, from the various modules together to build a basic fpga-based digital clock.system by
4、the control module, frequency module, decoding module and display components. the compilation and simulation of the design process, in the download validation of programmable logic devices, the system can complete the hours, minutes, seconds, respectively, indicated by the key input for clear digita
5、l clock function.keywords clock,quartus,vhdl,fpgai電子線路課程設(shè)計 目錄目 錄摘 要abstract1 緒 論1 1.1 題目意義1 1.2 設(shè)計要求12 設(shè)計的基本原理2 2.1 設(shè)計原理2 2.2 設(shè)計流程33 設(shè)計方案4 3.1 設(shè)計思路4 3.2 模塊圖和功能43.2.1 分頻模塊43.2.2 主控模塊53.2.3 譯碼模塊83.2.4 頂層模塊104 測 試11 4.1 模塊仿真114.1.1 分頻模塊124.1.2 主控模塊134.1.3 譯碼模塊14 4.2 頂層模塊仿真155 結(jié) 論 166 參考文獻(xiàn)17電子線路課程設(shè)計 緒論1
6、 緒 論1.1 題目意義現(xiàn)在是一個知識爆炸的新時代。新產(chǎn)品、新技術(shù)層出不窮,電子技術(shù)的發(fā)展更是日新月異??梢院敛豢鋸埖恼f,電子技術(shù)的應(yīng)用無處不在,電子技術(shù)正在不斷地改變我們的生活,改變著我們的世界。在這快速發(fā)展的年代,時間對人們來說是越來越寶貴,在快節(jié)奏的生活時,人們往往忘記了時間,一旦遇到重要的事情而忘記了時間,這將會帶來很大的損失。因此我們需要一個定時系統(tǒng)來提醒這些忙碌的人。數(shù)字化的鐘表給人們帶來了極大的方便。近些年,隨著科技的發(fā)展和社會的進(jìn)步,人們對數(shù)字鐘的要求也越來越高,傳統(tǒng)的時鐘已不能滿足人們的需求。多功能數(shù)字鐘不管在性能還是在樣式上都發(fā)生了質(zhì)的變化,有電子鬧鐘、數(shù)字鬧鐘等等。1.2
7、 設(shè)計要求本設(shè)計主要研究基于fpga的數(shù)字鐘,要求時間以24小時為一個周期,顯示時、分、秒。采用10khz的基準(zhǔn)信號產(chǎn)生1s的基準(zhǔn)時間,秒的個位加到10就向秒的十位進(jìn)一,秒的十位加到6就向分的個位進(jìn)一,分的個位加到10就向分的十位進(jìn)一,分的十位加到6就向時進(jìn)一。該時鐘具有清零功能,可以對時、分及秒進(jìn)行清零,為了保證計時的穩(wěn)定及準(zhǔn)確須由晶體振蕩器提供時間基準(zhǔn)信號。該系統(tǒng)是基于fpga的設(shè)計,采用vhdl進(jìn)行系統(tǒng)功能描述,采用自頂向下的設(shè)計方法,用quartus軟件進(jìn)行仿真測試。0電子線路課程設(shè)計 基本原理2 設(shè)計的基本原理2.1 設(shè)計原理振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號,作為數(shù)字鐘的時間基準(zhǔn),然后經(jīng)
8、過分頻器輸出標(biāo)準(zhǔn)秒脈沖。秒的個位到9時,十位加1,同時個位歸零,若十位為5時,則十位也歸零;分和秒一致;時的個位到9時,十位小于2時加1,同時個位歸零,若個位到3,十位為2時,則個位和十位都?xì)w零。一般說來,一個比較大的完整的項目應(yīng)該采用層次化的描述方法:分為幾個較大的模塊,定義好各功能模塊之間的接口,然后各個模塊再細(xì)分去具體實(shí)現(xiàn),這就是top down(自頂向下)的設(shè)計方法。目前這種高層次的設(shè)計方法已被廣泛采用。高層次設(shè)計只是定義系統(tǒng)的行為特征,可以不涉及實(shí)現(xiàn)工藝,因此還可以在廠家綜合庫的支持下,利用綜合優(yōu)化工具將高層次描述轉(zhuǎn)換成針對某種工藝優(yōu)化的網(wǎng)絡(luò)表,使工藝轉(zhuǎn)化變得輕而易舉。cpld/fp
9、ga系統(tǒng)設(shè)計的工作流程如圖2.1所示。圖2.1 cpld/fpga系統(tǒng)設(shè)計流程2.2 設(shè)計流程工程按照“自頂向下”的設(shè)計方法進(jìn)行系統(tǒng)劃分。輸入vhdl代碼,這是設(shè)計中最為普遍的輸入方式。此外,還可以采用圖形輸入方式(框圖、狀態(tài)圖等),這種輸入方式具有直觀、容易理解的優(yōu)點(diǎn)。將以上的設(shè)計輸入編譯成標(biāo)準(zhǔn)的vhdl文件。進(jìn)行代碼級的功能仿真,主要是檢驗系統(tǒng)功能設(shè)計的正確性。這一步驟適用于大型設(shè)計,因為對于大型設(shè)計來說,在綜合前對源代碼仿真,就可以大大減少設(shè)計重復(fù)的次數(shù)和時間。一般情況下,這一仿真步驟可略去。利用綜合器對vhdl源代碼進(jìn)行綜合優(yōu)化處理,生成門級描述的網(wǎng)絡(luò)表文件,這是將高層次描述轉(zhuǎn)化為硬件
10、電路的關(guān)鍵步驟。綜合優(yōu)化是針對asic芯片供應(yīng)商的某一產(chǎn)品系列進(jìn)行的,所以綜合的過程要在相應(yīng)的廠家綜合庫的支持下才能完成。利用產(chǎn)生的網(wǎng)絡(luò)表文件進(jìn)行適配前的時序仿真,仿真過程不涉及具體器件的硬件特性,是較為粗略的。一般的設(shè)計,也可略去這一步驟。利用適配器將綜合后的網(wǎng)絡(luò)表文件針對某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,包括底層器件配置、邏輯分割、邏輯優(yōu)化和布局布線。在適配完成后,產(chǎn)生多項設(shè)計結(jié)果:(a)適配報告,包括芯片內(nèi)部資源利用情況,設(shè)計的布爾方程描述情況等;(b)適配后的仿真模型;(c)器件編程文件。根據(jù)適配后的仿真模型,可以進(jìn)行適配后時序仿真,因為已經(jīng)得到器件的實(shí)際硬件特性(如時延特性),所以
11、仿真結(jié)果能比較精確的預(yù)期未來芯片的實(shí)際性能。如果仿真結(jié)果達(dá)不到設(shè)計要求,就修改vhdl源代碼或選擇不同速度和品質(zhì)的器件,直至滿足設(shè)計要求。最后將適配器產(chǎn)生的器件編程文件通過編程器或下載電纜載入到目標(biāo)芯片cpld/fpga中。15電子線路課程設(shè)計 設(shè)計方案3 設(shè)計方案3.1 設(shè)計思路采用分模塊設(shè)計的方法,再用一個頂層文件將各模塊聯(lián)系起來如圖3.1所示。圖3.1 結(jié)構(gòu)圖將晶振通過分頻器分頻后產(chǎn)生1hz(1s)的基本頻率,然后進(jìn)入主控模塊,此時計秒的個位,滿十后向秒的十位進(jìn)一,秒十位采用六進(jìn)制計數(shù)器,計滿后向分的個位進(jìn)一,分個位采用十進(jìn)制計數(shù)器,計滿后向分十位進(jìn)一,分十位采用六進(jìn)制計數(shù)器,計滿后向時
12、進(jìn)一,時采用二十四進(jìn)制計數(shù)器,計滿后清零,最后將秒的個、十位,分的個、十位,時的個、十位,用譯碼器譯為數(shù)碼管顯示的七段譯碼數(shù)。3.2 模塊圖和功能3.2.1 分頻模塊晶體振蕩器是構(gòu)成數(shù)字式時鐘的核心,振蕩器的穩(wěn)定度及頻率的精度決定了數(shù)字鐘計時的準(zhǔn)確程度,它保證了時鐘的走時準(zhǔn)確及穩(wěn)定。石英晶體的選頻特性非常好,只有某一頻率點(diǎn)的信號可以通過它,其它頻率段的信號均會被它所衰減,而且,振蕩信號的頻率與振蕩電路中的r、c元件的數(shù)值無關(guān)。因此,這種振蕩電路輸出的是準(zhǔn)確度極高的信號。然后再利用分頻電路,將其輸出信號轉(zhuǎn)變?yōu)槊胄盘枺浣M成框圖如圖3.2。圖3.2 1hz信號產(chǎn)生框圖本系統(tǒng)使用的晶體振蕩器電路給數(shù)
13、字鐘提供一個頻率穩(wěn)定準(zhǔn)確的10mhz的方波信號,其輸出至分頻電路。分頻模塊的邏輯框圖如圖3.3所示:圖3.3 分頻模塊分頻模塊vhdl程序文件名:freq-功能:將石英晶振產(chǎn)生的10mhz的信號分頻為1hz的信號use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity freq is port(clk10mhz:in std_logic; -10mhz輸入 clk1hz:out std_logic); -1hz時鐘輸出end freq;architecture
14、art of freq is signal tout:integer range 0 to 4999999; signal clk:std_logic; begin process(clk10mhz) begin if clk10mhz=1 and clk10mhzevent then if tout=4999999 then clk=not clk;tout=0; else tout=tout+1; end if; end if; end process; clk1hz=clk;end art;3.2.2 主控模塊當(dāng)復(fù)位信號rst=0時,時鐘輸出時、分、秒全部歸零;當(dāng)校準(zhǔn)信號s1=0時,時加
15、1;當(dāng)校準(zhǔn)信號s2=0時,分加1;秒的個位到9時,十位加1,同時個位歸零,若十位為5時,則十位也歸零;分和秒一致;時的個位到9時,十位小于2時加1,同時個位歸零,若個位到3,十位為2時,則個位和十位都?xì)w零。主控模塊的邏輯框圖如圖3.4所示:圖3.4 主控模塊主控模塊vhdl程序文件名:control-功能:輸出時、分、秒(十進(jìn)制),具有復(fù)位和校準(zhǔn)的功能library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity control is por
16、t(clk1hz:in std_logic; -1s時鐘輸入 rst:in std_logic; -復(fù)位輸入 s1,s2:in std_logic; -時間調(diào)節(jié)輸入 sec1_out,sec10_out:out integer range 0 to 9; min1_out,min10_out:out integer range 0 to 9; hour1_out,hour10_out:out integer range 0 to 9);end control;architecture art of control is signal sec1,sec10:integer range 0 to
17、9; signal min1,min10:integer range 0 to 9; signal hour1,hour10:integer range 0 to 9;begin process(clk1hz,rst) begin if(rst=0) then -系統(tǒng)復(fù)位 sec1=0; sec10=0; min1=0; min10=0; hour1=0; hour10=0; elsif(clk1hzevent and clk1hz=1) then -正常運(yùn)行 if(s1=0) then -調(diào)節(jié)小時 if(hour1=9) then hour1=0;hour10=hour10+1; elsif
18、(hour10=2 and hour1=3) then hour1=0;hour10=0; else hour1=hour1+1; end if; elsif(s2=0) then -調(diào)節(jié)分鐘 if(min1=9) then min1=0; if(min10=5) then min10=0; else min10=min10+1; end if; else min1=min1+1; end if; elsif(sec1=9) then sec1=0; if(sec10=5) then sec10=0; if(min1=9) then min1=0; if(min10=5) then min10
19、=0; if(hour1=9) then hour1=0;hour10=hour10+1; elsif(hour1=2 and hour10=3) then hour1=0;hour10=0; else hour1=hour1+1; end if; else min10=min10+1; end if; else min1=min1+1; end if; else sec10=sec10+1; end if; else sec1=sec1+1; end if; end if; end process; sec1_out=sec1;sec10_out=sec10; min1_out=min1;m
20、in10_out=min10; hour1_out=hour1;hour10_outdisplaydisplaydisplaydisplaydisplaydisplaydisplaydisplaydisplaydisplaydisplay=0000000; -全滅 end case; end process;end art;3.2.4 頂層模塊將各個模塊連接起來,實(shí)現(xiàn)整個時鐘功能。頂層文件的邏輯框圖如圖3.6所示:圖3.6 頂層模塊電子線路課程設(shè)計 測試4 測 試4.1 模塊仿真工程編譯通過后,必須對其功能和時序性能進(jìn)行仿真測試,以驗證設(shè)計結(jié)果是否滿足設(shè)計要求。整個時序仿真測試流程一般有建立波
21、形文件、輸入信號節(jié)點(diǎn)、設(shè)置波形參數(shù)、編輯輸入信號、波形文件存盤、運(yùn)行仿真器和分析方針波形等步驟。以頂層模塊為例,步驟如下:建立仿真測試波形文件。選擇quartus ii主窗口的file菜單的new選項,在彈出的文件類型編輯對話框中,選擇other files中的vector weaveform file項,單擊ok按鈕,即出現(xiàn)如圖4.1所示的波形文件編輯窗口。圖4.1 波形文件編輯窗口設(shè)置仿真時間區(qū)域。對于時序仿真測試來說,將仿真時間設(shè)置在一個合理的時間區(qū)域內(nèi)是十分必要的,通常設(shè)置的時間區(qū)域?qū)⒁暰唧w的設(shè)計項目而定。設(shè)計中整個仿真時間區(qū)域設(shè)為80s、時間軸周期為500ms,其設(shè)置步驟是在edit
22、菜單中選擇end time,在彈出的窗口中time處填入80,單位選擇s,同理在gride size中time period輸入500ms,單擊ok按鈕,設(shè)置結(jié)束。輸入工程信號節(jié)點(diǎn)選擇view菜單中的utility windows項的node finder,即可彈出如圖4.1.2所示的對話框,在此對話框filter項中選擇pins:all®isters:post-fitting,然后單擊list按鈕,于是在下方的nodes found窗口中出現(xiàn)設(shè)計中的szsj工程的所有端口的引腳名。用鼠標(biāo)將時鐘信號節(jié)點(diǎn)clk10mhz,ret,s1,s2,sec1,sec10,min1,min10,ho
23、ur1,hour10分別拖到波形編輯窗口,如圖4.2所示,此后關(guān)閉nodes found窗口即可。圖4.2 szsj波形編輯器輸入信號窗口設(shè)計信號波形。單擊圖4.2左側(cè)的全屏顯示按鈕,使之全屏顯示,并單擊放大縮小按鈕,再用鼠標(biāo)在波形編輯窗口單擊(右擊為放大,左擊為縮?。?,使仿真坐標(biāo)處于適當(dāng)位置。單擊圖4.2窗口的時鐘信號clk10mhz使之變成藍(lán)色條,再單擊右鍵,選擇value設(shè)置中的count value項,設(shè)置clk10mhz為連續(xù)變化的二進(jìn)制值,初始值為“0”,周期為100ns;rst,s1,s2均設(shè)為1。文件存盤選擇file中的save as項,將波形文件以默認(rèn)名szsj.vwf存盤即
24、可。 所有設(shè)置完成后,即可啟動仿真器processingstart simulation直到出現(xiàn)simulation was successful,仿真結(jié)束。4.1.1 分頻模塊仿真 設(shè)置end time為2s進(jìn)行仿真,結(jié)果如圖4.3所示。圖4.3 分頻模塊仿真4.1.2 主控模塊仿真1.設(shè)仿真時間為100s,仿真結(jié)果如圖4.4所示。圖4.4 主控模塊仿真2.設(shè)仿真時間為100s,設(shè)置s1,調(diào)節(jié)時,如圖4.5所示。圖4.5 時調(diào)節(jié)仿真3.設(shè)仿真時間為100s,設(shè)置s2,調(diào)節(jié)分,如圖4.6所示。圖4.6 分調(diào)節(jié)仿真4.設(shè)仿真時間為100s,設(shè)置rst,測試清零,如圖4.7所示。圖4.7 清零仿真4.1.3 譯碼模塊仿真設(shè)置該模塊輸入為0到9,進(jìn)行仿真,仿真結(jié)果如圖4.8所示。圖4.8 譯碼模塊仿真4.2 頂層模塊仿真圖4.9 頂層模塊仿真電子線路課程設(shè)計 結(jié)論5 結(jié) 論經(jīng)過各模塊和整體程序的仿真,達(dá)到了設(shè)計的要求。從秒的個位開始自加一,加到九時,在下一個時鐘來臨是個位清零又開始自加一,并向秒的十位進(jìn)一,秒的十位加到六就向分的個位進(jìn)一,秒的十位清零又開始計數(shù),分的個位加到十就向分的十位進(jìn)一,分的十位清零又開始計數(shù),分的十位加到六就向時進(jìn)一,時
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 第19課 科學(xué)技術(shù)的重大成果課件
- 2024年專業(yè)電工施工協(xié)議典范
- 中國特色社會主義基本原理(上)
- 2024年度層電梯廳裝潢協(xié)議模板
- 2024年無薪實(shí)習(xí)勞動協(xié)議
- 2024年倉庫租賃法律協(xié)議細(xì)則
- 2024年型車輛采購協(xié)議
- 2024屆安徽省合肥高升學(xué)校高三八校第一次適應(yīng)性考試數(shù)學(xué)試題試卷
- 2024建筑業(yè)勞務(wù)施工協(xié)議文本
- 2023-2024學(xué)年浙江省溫州市九校下期第二次質(zhì)量考評(3月)高三數(shù)學(xué)試題
- 變壓器類產(chǎn)品型號注冊管理辦法
- 廢鋼渣綜合利用項目建議書范文
- My_school四年級ppt課件
- 光伏發(fā)電站電壓與頻率響應(yīng)檢測規(guī)程 - 中國電力企業(yè)聯(lián)合會
- 密封件定位套工藝及鉆Φ13孔夾具設(shè)計說明書
- 病歷用藥點(diǎn)評工作表
- 住院患者健康教育檢查表
- (完整版)遴選答題紙(A4紙直接打印)
- IMD(模內(nèi)轉(zhuǎn)印)工藝詳解
- HLA-LJ-185混流式水輪機(jī)導(dǎo)水機(jī)構(gòu)安裝作業(yè)指導(dǎo)書2
- 綠化工程竣工資料(全套)
評論
0/150
提交評論