畢業(yè)設(shè)計(論文)基于51單片機的液晶顯示技術(shù)_第1頁
畢業(yè)設(shè)計(論文)基于51單片機的液晶顯示技術(shù)_第2頁
畢業(yè)設(shè)計(論文)基于51單片機的液晶顯示技術(shù)_第3頁
畢業(yè)設(shè)計(論文)基于51單片機的液晶顯示技術(shù)_第4頁
畢業(yè)設(shè)計(論文)基于51單片機的液晶顯示技術(shù)_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、摘要液晶顯示在當(dāng)前電子行業(yè)中的應(yīng)用越來越廣泛,其種類也越來越多,重最初5*7的1602,發(fā)展到各種高分辨率小體積,甚至帶觸屏功能。本文所用到的ks0713是一種小型的大規(guī)模集成并帶有驅(qū)動器和控制器的點陣型液晶模塊。它的外觀尺寸為42mm39mm,有20個外部引腳。它直接受單片機控制,接收8位串行或并行數(shù)據(jù), 同時可將數(shù)據(jù)顯示,并將數(shù)據(jù)存儲在模塊同的數(shù)據(jù)存儲器中(ddram)。由于ddram中的數(shù)據(jù)顯示單元與液晶屏的點陣單元存在一一對應(yīng)關(guān)系,并且ks0713液晶模塊數(shù)據(jù)的讀寫操作不受外部時鐘的控制,因而ks0713的顯示具有很高的靈活性。ks0713液晶模塊帶有液晶必需電源驅(qū)動電路,這樣可用最小

2、的元件和最小的功耗實現(xiàn)模塊的功能。關(guān)鍵詞:液晶模塊 單片機 波形 漢字目錄摘要1目錄2一 液晶顯示的優(yōu)點31.顯示質(zhì)量高32.數(shù)字式接口33.體積小、重量輕44.功耗低4二 系統(tǒng)方案比較論證4方案一:1602字符型lcd顯示系統(tǒng)4(1)1602lcd的基本參數(shù)及引腳功能5(2)1602lcd主要技術(shù)參數(shù)6(3)引腳功能說明6(4)1602lcd的指令說明及時序7(5)hd44780相兼容的芯片時序表8(6)1602lcd的ram地址映射及標(biāo)準(zhǔn)字庫表9(7)1602lcd的一般初始化(復(fù)位)過程11(8)1602lcd的軟硬件設(shè)計實例11(9)硬件原理圖12方案二:帶中文字庫的12864液晶13

3、1.基本特性132.模塊接口說明143并行接口144.控制器接口信號說明155.指令說明165.應(yīng)用舉例19附錄:程序清單21一 液晶顯示的優(yōu)點1.顯示質(zhì)量高由于液晶顯示器每一個點在收到信號后就一直保持那種色彩和亮度,恒定發(fā)光,而不像陰極射線管顯示器(crt)那樣需要不斷刷新新亮點。因此,液晶顯示器畫質(zhì)高且不會閃爍。2.數(shù)字式接口液晶顯示器都是數(shù)字式的,和單片機系統(tǒng)的接口更加簡單可靠,操作更加方便。3.體積小、重量輕液晶顯示器通過顯示屏上的電極控制液晶分子狀態(tài)來達到顯示的目的,在重量上比相同顯示面積的傳統(tǒng)顯示器要輕得多。4.功耗低相對而言,液晶顯示器的功耗主要消耗在其內(nèi)部的電極和驅(qū)動ic上,因

4、而耗電量比其它顯示器要少得多。二 系統(tǒng)方案比較論證方案一:1602字符型lcd顯示系統(tǒng)字符型液晶顯示模塊是一種專門用于顯示字母、數(shù)字、符號等點陣式lcd,目前常用16*1,16*2,20*2和40*2行等的模塊。下面以長沙太陽人電子有限公司的1602字符型液晶顯示器為例,介紹其用法。一般1602字符型液晶顯示器實物如圖:圖10-53 1602字符型液晶顯示器實物圖(1)1602lcd的基本參數(shù)及引腳功能1602lcd分為帶背光和不帶背光兩種,基控制器大部分為hd44780,帶背光的比不帶背光的厚,是否帶背光在應(yīng)用中并無差別,兩者尺寸差別如下圖10-54所示:圖10-54 1602lcd尺寸圖(

5、2)1602lcd主要技術(shù)參數(shù)顯示容量:162個字符芯片工作電壓:4.55.5v工作電流:2.0ma(5.0v)模塊最佳工作電壓:5.0v字符尺寸:2.954.35(wh)mm(3)引腳功能說明編號符號引腳說明編號符號引腳說明1vss電源地9d2數(shù)據(jù)2vdd電源正極10d3數(shù)據(jù)3vl液晶顯示偏壓11d4數(shù)據(jù)4rs數(shù)據(jù)/命令選擇12d5數(shù)據(jù)5r/w讀/寫選擇13d6數(shù)據(jù)6e使能信號14d7數(shù)據(jù)7d0數(shù)據(jù)15bla背光源正極8d1數(shù)據(jù)16blk背光源負極表10-13:引腳接口說明表第1腳:vss為地電源。第2腳:vdd接5v正電源。第3腳:vl為液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地時

6、對比度最高,對比度過高時會產(chǎn)生“鬼影”,可以通過一個10k的電位器調(diào)整對比度。第4腳:rs為寄存器選擇,高電平時選擇寄存器、低電平時選擇指令寄存器。第5腳:r/w為讀寫信號線,高電平時進行讀操作,低電平時進行寫操作。當(dāng)rs和r/w共同為低電平時可以寫入指令或者顯示地址,當(dāng)rs為低電平r/w為高電平時可以讀忙信號,當(dāng)rs為高電平r/w為低電平時可以寫入數(shù)據(jù)。第6腳:e端為使能端,當(dāng)e端由高電平跳變成低電平時,液晶模塊執(zhí)行命令。第714腳:d0d7為8位雙向數(shù)據(jù)線。第15腳:背光源正極。第16腳:背光源負極。(4)1602lcd的指令說明及時序序號指令rsr/wd7d6d5d4d3d2d1d01清

7、顯示00000000012光標(biāo)返回000000001*3置輸入模式00000001i/ds4顯示開/關(guān)控制0000001dcb5光標(biāo)或字符移位000001s/cr/l*6置功能00001dlnf*7置字符發(fā)生存貯器地址0001字符發(fā)生存貯器地址8置數(shù)據(jù)存貯器地址001顯示數(shù)據(jù)存貯器地址9讀忙標(biāo)志或地址01bf計數(shù)器地址10寫數(shù)到cgram或ddram)10要寫的數(shù)據(jù)內(nèi)容11從cgram或ddram讀數(shù)11讀出的數(shù)據(jù)內(nèi)容指令1:清顯示,指令碼01h,光標(biāo)復(fù)位到地址00h位置。指令2:光標(biāo)復(fù)位,光標(biāo)返回到地址00h。指令3:光標(biāo)和顯示模式設(shè)置 i/d:光標(biāo)移動方向,高電平右移,低電平左移 s:屏幕

8、上所有文字是否左移或者右移。高電平表示有效,低電平則無效。指令4:顯示開關(guān)控制。 d:控制整體顯示的開與關(guān),高電平表示開顯示,低電平表示關(guān)顯示 c:控制光標(biāo)的開與關(guān),高電平表示有光標(biāo),低電平表示無光標(biāo) b:控制光標(biāo)是否閃爍,高電平閃爍,低電平不閃爍。指令5:光標(biāo)或顯示移位 s/c:高電平時移動顯示的文字,低電平時移動光標(biāo)。指令6:功能設(shè)置命令 dl:高電平時為4位總線,低電平時為8位總線 n:低電平時為單行顯示,高電平時雙行顯示 f: 低電平時顯示5x7的點陣字符,高電平時顯示5x10的點陣字符。指令7:字符發(fā)生器ram地址設(shè)置。指令8:ddram地址設(shè)置。指令9:讀忙信號和光標(biāo)地址 bf:為

9、忙標(biāo)志位,高電平表示忙,此時模塊不能接收命令或者數(shù)據(jù),如果為低電平表示不忙。指令10:寫數(shù)據(jù)。指令11:讀數(shù)據(jù)。(5)hd44780相兼容的芯片時序表讀狀態(tài)輸入rs=l,r/w=h,e=h輸出d0d7=狀態(tài)字寫指令輸入rs=l,r/w=l,d0d7=指令碼,e=高脈沖輸出無讀數(shù)據(jù)輸入rs=h,r/w=h,e=h輸出d0d7=數(shù)據(jù)寫數(shù)據(jù)輸入rs=h,r/w=l,d0d7=數(shù)據(jù),e=高脈沖輸出無表10-15:基本操作時序表圖10-55 讀操作時序圖10-56 寫操作時序(6)1602lcd的ram地址映射及標(biāo)準(zhǔn)字庫表液晶顯示模塊是一個慢顯示器件,所以在執(zhí)行每條指令之前一定要確認模塊的忙標(biāo)志為低電平

10、,表示不忙,否則此指令失效。要顯示字符時要先輸入顯示字符地址,也就是告訴模塊在哪里顯示字符,下圖是1602的內(nèi)部顯示地址。例如第二行第一個字符的地址是40h,那么是否直接寫入40h就可以將光標(biāo)定位在第二行第一個字符的位置呢?這樣不行,因為寫入顯示地址時要求最高位d7恒定為高電平1所以實際寫入的數(shù)據(jù)應(yīng)該是01000000b(40h)+10000000b(80h)=11000000b(c0h)。在對液晶模塊的初始化中要先設(shè)置其顯示模式,在液晶模塊顯示字符時光標(biāo)是自動右移的,無需人工干預(yù)。每次輸入指令前都要判斷液晶模塊是否處于忙的狀態(tài)。1602液晶模塊內(nèi)部的字符發(fā)生存儲器(cgrom)已經(jīng)存儲了16

11、0個不同的點陣字符圖形,如圖10-58所示,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號、和日文假名等,每一個字符都有一個固定的代碼,比如大寫的英文字母“a”的代碼是01000001b(41h),顯示時模塊把地址41h中的點陣字符圖形顯示出來,我們就能看到字母“a”圖10-58 字符代碼與圖形對應(yīng)圖(7)1602lcd的一般初始化(復(fù)位)過程延時15ms寫指令38h(不檢測忙信號)延時5ms寫指令38h(不檢測忙信號)延時5ms寫指令38h(不檢測忙信號)以后每次寫指令、讀/寫數(shù)據(jù)操作均需要檢測忙信號寫指令38h:顯示模式設(shè)置寫指令08h:顯示關(guān)閉寫指令01h:顯示清屏寫指令06h:顯

12、示光標(biāo)移動設(shè)置寫指令0ch:顯示開及光標(biāo)設(shè)置(8)1602lcd的軟硬件設(shè)計實例在1602lcd第一行顯示網(wǎng)站名:在第二行顯示聯(lián)系電話實驗前應(yīng)先將顯示切換開關(guān)切換到lcd工作狀態(tài)。圖10-59 1602lcd實驗演示圖(9)硬件原理圖1602液晶顯示模塊可以和單片機at89c51直接接口,電路如圖10-60所示。圖10-60 硬件原理圖圖10-61 軟件流程圖方案二:帶中文字庫的12864液晶帶中文字庫的128x64是一種具有4位/8位并行、2線或3線串行多種接口方式,內(nèi)部含有國標(biāo)一級、二級簡體中文字庫的點陣圖形液晶顯示模塊;其顯示分辨率為12864, 內(nèi)置819

13、2個16*16點漢字,和128個16*8點ascii字符集.利用該模塊靈活的接口方式和簡單、方便的操作指令,可構(gòu)成全中文人機交互圖形界面??梢燥@示84行1616點陣的漢字. 也可完成圖形顯示.低電壓低功耗是其又一顯著特點。由該模塊構(gòu)成的液晶顯示方案與同類型的圖形點陣液晶顯示模塊相比,不論硬件電路結(jié)構(gòu)或顯示程序都要簡潔得多,且該模塊的價格也略低于相同點陣的圖形液晶模塊。1.基本特性(1)、低電源電壓(vdd:+3.0-+5.5v)(2)、顯示分辨率:12864點 (3)、內(nèi)置漢字字庫,提供8192個1616點陣漢字(簡繁體可選) (4)、內(nèi)置 128個168點陣字符 (5)、2mhz時鐘頻率(6

14、)、顯示方式:stn、半透、正顯 (7)、驅(qū)動方式:1/32duty,1/5bias (8)、視角方向:6點 (9)、背光方式:側(cè)部高亮白色led,功耗僅為普通led的1/51/10 (10)、通訊方式:串行、并口可選 (11)、內(nèi)置dc-dc轉(zhuǎn)換電路,無需外加負壓 (12)、無需片選信號,簡化軟件設(shè)計(13)、工作溫度: 0 - +55 ,存儲溫度: -20 - +602.模塊接口說明(1)如在實際應(yīng)用中僅使用串口通訊模式,可將psb接固定低電平,也可以將模塊上的j8和“gnd”用焊錫短接。(2)模塊內(nèi)部接有上電復(fù)位電路,因此在不需要經(jīng)常復(fù)位的場合可將該端懸空。(3)如背光和模塊共用一個電源

15、,可以將模塊上的ja、jk用焊錫短接。3并行接口管腳號管腳名稱電平管腳功能描述1vss0v電源地2vcc3.0+5v電源正3v0-對比度(亮度)調(diào)整4rs(cs)h/lrs=“h”,表示db7db0為顯示數(shù)據(jù)rs=“l(fā)”,表示db7db0為顯示指令數(shù)據(jù)5r/w(sid)h/lr/w=“h”,e=“h”,數(shù)據(jù)被讀到db7db0r/w=“l(fā)”,e=“hl”, db7db0的數(shù)據(jù)被寫到ir或dr6e(sclk)h/l使能信號7db0h/l三態(tài)數(shù)據(jù)線8db1h/l三態(tài)數(shù)據(jù)線9db2h/l三態(tài)數(shù)據(jù)線10db3h/l三態(tài)數(shù)據(jù)線11db4h/l三態(tài)數(shù)據(jù)線12db5h/l三態(tài)數(shù)據(jù)線13db6h/l三態(tài)數(shù)據(jù)線1

16、4db7h/l三態(tài)數(shù)據(jù)線15psbh/lh:8位或4位并口方式,l:串口方式(見注釋1)16nc-空腳17/reseth/l復(fù)位端,低電平有效(見注釋2)18vout-lcd驅(qū)動電壓輸出端19avdd背光源正端(+5v)(見注釋3)20kvss背光源負端(見注釋3)4.控制器接口信號說明(1)rs,r/w的配合選擇決定控制界面的4種模式:rsr/w功能說明llmpu寫指令到指令暫存器(ir)lh讀出忙標(biāo)志(bf)及地址記數(shù)器(ac)的狀態(tài)hlmpu寫入數(shù)據(jù)到數(shù)據(jù)暫存器(dr)hhmpu從數(shù)據(jù)暫存器(dr)中讀出數(shù)據(jù)(2)e信號e狀態(tài)執(zhí)行動作結(jié)果高低i/o緩沖dr配合/w進行寫數(shù)據(jù)或指令高dri

17、/o緩沖配合r進行讀數(shù)據(jù)或指令低/低高無動作 忙標(biāo)志:bf bf標(biāo)志提供內(nèi)部工作情況.bf=1表示模塊在進行內(nèi)部操作,此時模塊不接受外部指令和數(shù)據(jù).bf=0時,模塊為準(zhǔn)備狀態(tài),隨時可接受外部指令和數(shù)據(jù).利用status rd 指令,可以將bf讀到db7總線,從而檢驗?zāi)K之工作狀態(tài). 字型產(chǎn)生rom(cgrom) 字型產(chǎn)生rom(cgrom)提供8192個此觸發(fā)器是用于模塊屏幕顯示開和關(guān)的控制。dff=1為開顯示(display on),ddram 的內(nèi)容就顯示在屏幕上,dff=0為關(guān)顯示(display off)。dff 的狀態(tài)是指令display on/off和rst信號控制的。 顯示數(shù)據(jù)r

18、am(ddram) 模塊內(nèi)部顯示數(shù)據(jù)ram提供642個位元組的空間,最多可控制4行16字(64個字)的中文字型顯示,當(dāng)寫入顯示數(shù)據(jù)ram時,可分別顯示cgrom與cgram的字型;此模塊可顯示三種字型,分別是半角英數(shù)字型(16*8)、cgram字型及cgrom的中文字型,三種字型的選擇,由在ddram中寫入的編碼選擇,在0000h0006h的編碼中(其代碼分別是0000、0002、0004、0006共4個)將選擇cgram的自定義字型,02h7fh的編碼中將選擇半角英數(shù)字的字型,至于a1以上的編碼將自動的結(jié)合下一個位元組,組成兩個位元組的編碼形成中文字型的編碼big5(a140d75f),gb

19、(a1a0-f7ffh)。 字型產(chǎn)生ram(cgram) 字型產(chǎn)生ram提供圖象定義(造字)功能, 可以提供四組1616點的自定義圖象空間,使用者可以將內(nèi)部字型沒有提供的圖象字型自行定義到cgram中,便可和cgrom中的定義一樣地通過ddram顯示在屏幕中。 地址計數(shù)器ac地址計數(shù)器是用來貯存ddram/cgram之一的地址,它可由設(shè)定指令暫存器來改變,之后只要讀取或是寫入ddram/cgram的值時,地址計數(shù)器的值就會自動加一,當(dāng)rs為“0”時而r/w為“1”時,地址計數(shù)器的值會被讀取到db6db0中。 光標(biāo)/閃爍控制電路 此模塊提供硬體光標(biāo)及閃爍控制電路,由地址計數(shù)器的值來指定ddram

20、中的光標(biāo)或閃爍位置。5.指令說明模塊控制芯片提供兩套控制命令,基本指令和擴充指令如下:指令表1:(re=0:基本指令)指 指 令 碼功 能令rsr/wd7d6d5d4d3d2d1d0清除顯示0000000001將ddram填滿20h,并且設(shè)定ddram的地址計數(shù)器(ac)到00h地址歸位000000001x設(shè)定ddram的地址計數(shù)器(ac)到00h,并且將游標(biāo)移到開頭原點位置;這個指令不改變ddram 的內(nèi)容顯示狀態(tài)開/關(guān)0000001dcbd=1: 整體顯示 onc=1: 游標(biāo)onb=1:游標(biāo)位置反白允許進入點設(shè)定00000001i/ds指定在數(shù)據(jù)的讀取與寫入時,設(shè)定游標(biāo)的移動方向及指定顯示

21、的移位游標(biāo)或顯示移位控制000001s/cr/lxx設(shè)定游標(biāo)的移動與顯示的移位控制位;這個指令不改變ddram 的內(nèi)容功能設(shè)定00001dlxrexxdl=0/1:4/8位數(shù)據(jù)re=1: 擴充指令操作re=0: 基本指令操作設(shè)定cgram地址0001ac5ac4ac3ac2ac1ac0設(shè)定cgram 地址設(shè)定ddram地址0010ac5ac4ac3ac2ac1ac0設(shè)定ddram 地址(顯示位址)第一行:80h87h第二行:90h97h讀取忙標(biāo)志和地址01bfac6ac5ac4ac3ac2ac1ac0讀取忙標(biāo)志(bf)可以確認內(nèi)部動作是否完成,同時可以讀出地址計數(shù)器(ac)的值寫數(shù)據(jù)到ram1

22、0數(shù)據(jù)將數(shù)據(jù)d7d0寫入到內(nèi)部的ram (ddram/cgram/iram/gram)讀出ram的值11數(shù)據(jù)從內(nèi)部ram讀取數(shù)據(jù)d7d0(ddram/cgram/iram/gram)指令表2:(re=1:擴充指令)指 指 令 碼功 能令rsr/wd7d6d5d4d3d2d1d0待命模式0000000001進入待命模式,執(zhí)行其他指令都棵終止待命模式卷動地址開關(guān)開啟000000001srsr=1:允許輸入垂直卷動地址sr=0:允許輸入iram和cgram地址反白選擇00000001r1r0選擇2行中的任一行作反白顯示,并可決定反白與否。初始值r1r000,第一次設(shè)定為反白顯示,再次設(shè)定變回正常睡眠

23、模式0000001slxxsl=0:進入睡眠模式sl=1:脫離睡眠模式擴充功能設(shè)定00001clxreg0cl=0/1:4/8位數(shù)據(jù)re=1: 擴充指令操作re=0: 基本指令操作g=1/0:繪圖開關(guān)設(shè)定繪圖ram地址0010ac60ac50ac4ac3ac3ac2ac2ac1ac1ac0ac0設(shè)定繪圖ram先設(shè)定垂直(列)地址ac6ac5ac0再設(shè)定水平(行)地址ac3ac2ac1ac0將以上16位地址連續(xù)寫入即可備注:當(dāng)ic1在接受指令前,微處理器必須先確認其內(nèi)部處于非忙碌狀態(tài),即讀取bf標(biāo)志時,bf需為零,方可接受新的指令;如果在送出一個指令前并不檢查bf標(biāo)志,那么在前一個指令和這個指令

24、中間必須延長一段較長的時間,即是等待前一個指令確實執(zhí)行完成。5.應(yīng)用舉例(1)使用前的準(zhǔn)備:先給模塊加上工作電壓,再按照下圖的連接方法調(diào)節(jié)lcd的對比度,使其顯示出黑色的底影。此過程亦可以初步檢測lcd有無缺段現(xiàn)象。(2) 字符顯示:帶中文字庫的128x64-0402b每屏可顯示4行8列共32個1616點陣的漢字,每個顯示ram可顯示1個中文字符或2個168點陣全高ascii碼字符,即每屏最多可實現(xiàn)32個中文字符或64個ascii碼字符的顯示。帶中文字庫的128x64-0402b內(nèi)部提供1282字節(jié)的字符顯示ram緩沖區(qū)(ddram)。字符顯示是通過將字符顯示編碼寫入該字符顯示ram實現(xiàn)的。根據(jù)寫入內(nèi)容的不同,可分別在液晶屏上顯示cgrom(中文字庫)、hcgrom(ascii碼字庫)及cgram(自定義字形)的內(nèi)容。三種不同字符/字型的選擇編碼范圍為:00000006h(其代碼分別是0000、0002、0004、0006共4個)顯示自定義字型,02h7fh顯示半寬asc

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論