基于AT89C52的程控濾波器的設(shè)計(jì)與仿真講解_第1頁
基于AT89C52的程控濾波器的設(shè)計(jì)與仿真講解_第2頁
基于AT89C52的程控濾波器的設(shè)計(jì)與仿真講解_第3頁
基于AT89C52的程控濾波器的設(shè)計(jì)與仿真講解_第4頁
基于AT89C52的程控濾波器的設(shè)計(jì)與仿真講解_第5頁
已閱讀5頁,還剩50頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、鷺江職業(yè)技術(shù)學(xué)校鷺江職業(yè)技術(shù)學(xué)校 畢業(yè)論文畢業(yè)論文 論文題目:論文題目: 基于基于 AT89C52AT89C52 程控濾波器的設(shè)計(jì)與仿真程控濾波器的設(shè)計(jì)與仿真 學(xué)學(xué) 院、院、 系系 : 信息科學(xué)與技術(shù)學(xué)院信息科學(xué)與技術(shù)學(xué)院 專專 業(yè)業(yè) ( (方方 向向) ) : 電子科學(xué)與技術(shù)電子科學(xué)與技術(shù) 年年 級級: 2009 級級 學(xué)學(xué) 生生 : 劉佳麗劉佳麗 指指 導(dǎo)導(dǎo) 教教 師師 : 馬銀春馬銀春 _2009_2009_ _年 6 月 20 日 聲 明 本人鄭重聲明:所呈交的畢業(yè)論文(設(shè)計(jì))是本人在導(dǎo)師的指導(dǎo)下取得的成果。 對本論文(設(shè)計(jì))的研究做出重要貢獻(xiàn)的個人和集體,均已在文中以明確方式標(biāo)明。

2、因本畢業(yè)論文(設(shè)計(jì))引起的法律結(jié)果完全由本人承擔(dān)。 本畢業(yè)論文(設(shè)計(jì))成果歸蘭州商學(xué)院所有。 特此聲明 畢業(yè)論文(設(shè)計(jì))作者簽名: 年 月 日 I 程控濾波器的設(shè)計(jì)與仿真程控濾波器的設(shè)計(jì)與仿真 摘摘 要要 本系統(tǒng)實(shí)現(xiàn)程控濾波,放大器增益可設(shè)置;低通或高通濾波器通帶、 截止頻率等參數(shù)可設(shè)置。硬件以單片機(jī) AT89C52 為核心控制,主要由 PGA103 放大器、程控濾波器 MAX262、橢圓濾波器和幅頻特性測試 (DDS)等部分組成。放大器采用 PGA103,實(shí)現(xiàn) 60dB 固定增益放大,步 進(jìn) 10dB 由單片機(jī)控制 AD7520 實(shí)現(xiàn),其誤差不大于 5%。低通、高通濾波 器由單片機(jī)控制可編程

3、濾波器芯片 MAX262 實(shí)現(xiàn),在 2fc處放大器與濾波 器的總電壓增益不大于 30dB。幅頻特性測試由 DDS 產(chǎn)生信號經(jīng)峰值檢波 后送入 A/D 轉(zhuǎn)換實(shí)現(xiàn)。本系統(tǒng)設(shè)計(jì)簡單,能很好地實(shí)現(xiàn)濾波器的程控, 使用方便,具有較高的性價(jià)比、實(shí)用性和使用價(jià)值。在此文中,采用 multisim 系統(tǒng)仿真實(shí)現(xiàn)程控濾波功能。 關(guān)鍵字關(guān)鍵字 AT89C52AT89C52 程控濾波器程控濾波器 MAX262MAX262 II ABSTRACT This system can realize the digital programmable filter and also could intercalate the

4、 gain of amplifier, the passband parameter and cut-off frequency of LPF or HPF could be intercalated. The hardware uses MCU(AT89C52)as the core to control the system, it consist of the PGA103、MAX262、the four-order elliptic low-pass filter and DDS an so on. The amplifier uses the PGA103, the fixed ga

5、in of amplifier is 60dB, the AT89C52 control the AD7520, it could realize the controled 10dB gain, the error has 5%. The LPF and HPF are realized by the programmable switched-capacitor filter integrated chip MAX262, the chip is controled by the AT89C52, the voltage gain of amplifier and filter is 30

6、 dB in the 2fc, DDS theory is adopted to produce Amplitude-frequency characteristics tester. The design of the system is simple, it is very convenience. In this article, system simulation using multisim achieve programmable filtering. Keywords AT89C52,elliptic,low-pass ,filter,MAX262,Amplitude-frequ

7、ency,characteristics tester III 目目 錄錄 一、引言.1 (一)課題背景.1 (二)濾波器的發(fā)展.1 (三)論題的設(shè)計(jì)與要求.2 (四)濾波器的分類.2 (五)本文的研究內(nèi)容.4 二、系統(tǒng)組成.5 (一)程控濾波器的總體說明.5 1、程控放大器電路.5 2、程控濾波器電路.6 3、幅頻特性測試設(shè)計(jì).6 (二) 系統(tǒng)主要單元電路設(shè)計(jì).7 1、系統(tǒng)簡介.7 2、程控放大器電路.8 3、程控濾波電路.8 4、四階橢圓低通濾波器設(shè)計(jì)電路.10 5、幅頻特性測試設(shè)計(jì).12 6、峰值檢波電路.14 三、系統(tǒng)電路詳解.17 (一)器件介紹.17 1、單片機(jī) AT89C52.1

8、7 2、濾波器芯片 MAX262.18 3、固定放大器 PGA103.20 4、AD7520.21 (二)功能電路圖.22 1、程控放大電路.22 2、程控濾波電路.23 3、系統(tǒng)總機(jī)電路.25 (三)系統(tǒng)測試及其結(jié)果.27 1、放大器測試及其結(jié)果.27 2、低通濾波器測試及其結(jié)果.28 3、橢圓濾波器測試及其結(jié)果.29 4、幅頻特性測試及其結(jié)果.30 5、誤差分析.30 四、軟件設(shè)計(jì).30 (一)系統(tǒng)軟件設(shè)計(jì)與實(shí)現(xiàn).30 (二)系統(tǒng)程序的編譯與仿真.31 結(jié) 論.35 致 謝.36 IV 參考文獻(xiàn).37 附 錄.38 1 程控濾波器的設(shè)計(jì)與仿真程控濾波器的設(shè)計(jì)與仿真 一、一、引言引言 (一)

9、課題背景(一)課題背景 什么是濾波器呢?從廣義上來說,凡是有能力進(jìn)行信號處理的裝置 都可以稱為濾波器,在近代電信設(shè)備和各類控制系統(tǒng)中,濾波器應(yīng)用極 為廣泛,從某種意義上來說濾波器的發(fā)展進(jìn)程就代表了電子業(yè)的發(fā)展進(jìn) 程,在所有的電子部件中,使用最多、技術(shù)最為復(fù)雜的要算濾波器了。 濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣,所以,對濾波器的研究和生產(chǎn)歷來 為各國所重視。我國的電子行業(yè)還處于發(fā)展階段,相對于發(fā)達(dá)國家我們 還有不小的差距,所以我們必須投入更大的努力改變這一現(xiàn)狀,從而做 到不求人,只求己。 (二)濾波器的發(fā)展(二)濾波器的發(fā)展 1917 年美國和德國科學(xué)家分別發(fā)明了 LC 濾波器,次年導(dǎo)致了美國 第一

10、個多路復(fù)用系統(tǒng)的出現(xiàn)。20 世紀(jì) 50 年代無源濾波器日趨成熟。自 60 年代起由于計(jì)算機(jī)技術(shù)、集成工藝和材料工業(yè)的發(fā)展,濾波器發(fā)展上 了一個新臺階,并且朝著低功耗、高精度、小體積、多功能、穩(wěn)定可靠 和價(jià)廉方向努力,其中小體積、多功能、高精度、穩(wěn)定可靠成為 70 年 代以后的主攻方向。導(dǎo)致 RC 有源濾波器 、數(shù)字濾波器、開關(guān)電容濾波 器和電荷轉(zhuǎn)移器等各種濾波器的飛速發(fā)展,到 70 年代后期,上述幾種 濾波器的單片集成已被研制出來并得到應(yīng)用。80 年代,致力于各類新型 2 濾波器的研究,努力提高性能并逐漸擴(kuò)大應(yīng)用范圍。90 年代至現(xiàn)在主要 致力于把各類濾波器應(yīng)用于各類產(chǎn)品的開發(fā)和研制。當(dāng)然,對

11、濾波器本 身的研究仍在不斷進(jìn)行。 我國廣泛使用濾波器是 50 年代后期的事,當(dāng)時(shí)主要用于話路濾波 和報(bào)路濾波。經(jīng)過半個世紀(jì)的發(fā)展,我國濾波器在研制、生產(chǎn)和應(yīng)用等 方面已納入國際發(fā)展步伐,但由于缺少專門研制機(jī)構(gòu),集成工藝和材料 工業(yè)跟不上來,使得我國許多新型濾波器的研制應(yīng)用與國際發(fā)展有一段 距離。 (三)論題的設(shè)計(jì)與要求(三)論題的設(shè)計(jì)與要求 (1)放大器輸入正弦信號電壓振幅為 10mV,電壓增益為 60dB,增益 10dB 步 進(jìn)可調(diào),通頻帶為 100Hz40kHz,放大器輸出電壓無明顯失真。 (2)濾波器可設(shè)置為低通濾波器,其-3dB 截止頻率fc在 1kHz20kHz 范圍內(nèi) 可調(diào),調(diào)節(jié)的

12、頻率步進(jìn)為 1kHz,2fc處放大器與濾波器的總電壓增益不大 于 40dB, RL=1k。 (3)電壓增益與截止頻率的誤差均不大于 10%。 (4)有設(shè)置參數(shù)顯示功能。 (四)濾波器的分類(四)濾波器的分類 濾波器有各種不同的分類,一般有如下幾種。 3 圖 1-1 濾波器的分類 (1)按處理信號類型分類按處理信號類型分類,可分為模擬濾波 器和離散濾波器兩大類。其中模擬濾波器又可分為有源、無源、異類三 個分類;離散濾波器又可分為數(shù)字、取樣模擬、混合三個分類。當(dāng)然, 每個分類又可繼續(xù)分下去,總之,它們的分類可以形成一個樹形結(jié)構(gòu), 如圖所示。 實(shí)際上有些濾波器很難歸于哪一類,例如開關(guān)電容濾波器既可屬

13、于 取樣模擬濾波器,又可屬于混合濾波器,還可屬于有源濾波器。因此, 我們不必苛求這種“精確”分類,只是讓人們了解濾波器的大體類型, 有個總體概念就行了。 濾 波 器 模 擬數(shù) 字 有 源異 類 無 源取樣模擬數(shù) 字混 合 表 面 波 傳 輸 線 各類 諧振 器 陶 瓷 晶 體 機(jī) 械 R L C 自 適 應(yīng) 復(fù) 數(shù) 多 維 窄 帶 F I R I I R 開 關(guān) 拓 撲 電荷 轉(zhuǎn)移 器 開 關(guān) 電 容 CCDBBD RCR C L C S R C MOSS ET-C 4 (2)按選擇物理量分類 按選擇物理量分類,濾波器可分為頻率選擇、幅度選擇、時(shí)間選擇 (例如 PCM 制中的話路信號)和信息選

14、擇(例如匹配濾波器)等四類濾 波器。 (3)按頻率通帶范圍分類 按頻率通帶范圍分類,濾波器可分為低通、高通、帶通、帶阻、全 通五個類別,而梳形濾波器屬于帶通和帶阻濾波器,因?yàn)樗兄芷谛缘?通帶和阻帶。 (五)本文的研究內(nèi)容(五)本文的研究內(nèi)容 本次課題主要研究的是以單片機(jī)為核心控制而設(shè)計(jì)的程控濾波器, 硬件以單片機(jī) AT89C52 為核心控制,主要由 PGA103 放大器、程控濾波 器 MAX262、橢圓濾波器和幅頻特性測試(DDS)等部分組成。本系統(tǒng)可 實(shí)現(xiàn)程控濾波,放大器增益可設(shè)置;低通或高通濾波器通帶、截止頻率 等參數(shù)可設(shè)置。 本次課題的仿真部分,用到了 multisim 軟件,主要針對

15、程控濾波器 的放大部分與濾波部分。通過設(shè)置函數(shù)信號發(fā)生器的參數(shù)改變輸入信號, 由四蹤示波器觀察得輸出信號隨之改變的情況,最后分析數(shù)據(jù)得出結(jié)論。 5 二、系統(tǒng)組成二、系統(tǒng)組成 (一)程控濾波器的總體說明(一)程控濾波器的總體說明 按設(shè)計(jì)要求,我大致將程控濾波器按功能分為三部分,如圖 21 所示: 1、程控放大器電路 2、程控濾波電路 3、幅頻特性測試 幅頻特性 測試 單 片 機(jī) AT89C52 鎖存 器 60dB 放大程控衰減程控濾波器 輸出輸入 圖 2-1 系統(tǒng)總體框圖 1 1、程控放大器電路、程控放大器電路 方案一:采用模擬電路搭建,由模擬開關(guān)選擇不同的電阻檔實(shí)現(xiàn)步 進(jìn)。此方法不占用單片機(jī)資

16、源,使單片機(jī)能更好地控制其它電路,但采 用純模擬電路搭建不易控制,且控制精度不高。 方案二:程控放大器由兩極電路組成,輸入信號首先經(jīng)過 PGA103 固定放大后送入 AD7520 由單片機(jī)控制增益步進(jìn)。由于 AD7520 輸入電壓 6 幅度的要求,在此采用先固定放大再程控,最后再固定放大。此方法控 制精度高,由 AD7520 決定步進(jìn)精度,方便調(diào)節(jié)控制步進(jìn)。原理框圖如 圖 22 所示。 初步放大增益控制再次放大 圖 2-2 程控放大原理框圖 基于以上方案比較,我選取方案二。 2 2、程控濾波器電路、程控濾波器電路 方案一:采用運(yùn)放構(gòu)成切比雪夫有源濾波電路,通過單片機(jī)間接控 制可變電容兩端的電壓

17、改變電容的值來改變截止頻率和程控步進(jìn)。但電 路復(fù)雜,不容易控制且精度不高,也不易于帶通帶阻等功能的擴(kuò)展。 方案二:選用專用的程控濾波器芯片 MAX262 實(shí)現(xiàn)。MAX262 可編程 對各種低頻信號實(shí)現(xiàn)低通、高通、帶通、帶阻和全通濾波器處理,且濾 波器的特性參數(shù)如中心頻率、品質(zhì)因數(shù)等可通過編程進(jìn)行設(shè)置。 基于以上方案比較,我選取方案二。 3 3、幅頻特性測試設(shè)計(jì)、幅頻特性測試設(shè)計(jì) 采用掃頻的方法:讓不同的頻率的標(biāo)準(zhǔn)信號通過待測系統(tǒng),通過比 較輸入、輸出波形的幅度關(guān)系,得到系統(tǒng)的幅頻特性。原理框圖如圖 2- 3 所示。 7 信號源檢測網(wǎng)絡(luò) 峰值檢波 峰值檢波 A/D 轉(zhuǎn) 換 單 片 機(jī) 圖 2-3

18、 幅頻特性測試 方案一:采用單片機(jī)動態(tài)編程技術(shù),用軟件產(chǎn)生正弦波數(shù)據(jù),存入 RAM,利用指令尋址,經(jīng) D/A 轉(zhuǎn)換輸出波形。用有源峰值檢波器實(shí)現(xiàn)峰 值檢波,再送入 A/D 轉(zhuǎn)換完成量化,由單片機(jī)控制經(jīng) D/A 輸出得到幅頻 特性。因單片機(jī)工作頻率的限制使得其產(chǎn)生的信號采樣點(diǎn)數(shù)少,上限頻 率不高。 方案二:采用鎖相式頻率合成器,利用 PLL 將 VCO 的輸出品,鎖定 在所需頻率上。 方案三:采用直接頻率合成(DDS) ,按頻率要求對相位增量進(jìn)行累 加,以累加相位值作為地址碼讀取存放在存儲器內(nèi)的波形數(shù)據(jù),經(jīng) D/A 轉(zhuǎn)換、濾波即得所需波形。單片機(jī)通過置入不同的相位增量來實(shí)現(xiàn)對正 弦波頻率的控制。

19、采用 DDS 產(chǎn)生的信號精度高,線性和穩(wěn)定度好。 基于以上方案比較,我選取的是方案三。 (二)(二) 系統(tǒng)主要單元電路設(shè)計(jì)系統(tǒng)主要單元電路設(shè)計(jì) 1 1、系統(tǒng)簡介、系統(tǒng)簡介 本系統(tǒng)主要以 AT89C52 單片機(jī)為控制核心,由 PGA103 放大器、程 控濾波器 MAX262、橢圓濾波器和幅頻特性測試組成。實(shí)現(xiàn)了電壓增益放 大 60 dB 和 10dB 步進(jìn),頻率為 1K-20KHZ 步進(jìn)為 1K 的低通高通濾波 8 器,LC 構(gòu)成的橢圓低通濾波器和掃頻范圍 100-200KHZ 的幅頻特性測 試。 2 2、程控放大器電路、程控放大器電路 程控放大器采用固定放大器(PGA103)加可控電路(AD7

20、520)實(shí)現(xiàn)。 由于 AD7520 的輸入信號不能太大,所以采用初、次兩極放大。將 D/A 看成一個可數(shù)控的電阻網(wǎng)絡(luò)來實(shí)現(xiàn)增益可變放大,其放大倍數(shù)由單片機(jī) 送到 D/A 的數(shù)據(jù)決定。其仿真電路如圖 2-4 所示。 圖 2-4 程控放大器 3 3、程控濾波電路、程控濾波電路 濾波電路采用 MAX262 芯片設(shè)計(jì),MAX262 芯片是 Maxim 公司推出的 雙二階通用開關(guān)電容有源濾波器,可通過微處理器精確控制濾波器的傳 遞函數(shù)(包括設(shè)置中心頻率、品質(zhì)因數(shù)和工作方式) 。在不需外部元件 9 的情況下就可以構(gòu)成各種帶通、低通、高通、陷波和全通濾波器。在程 序控制下設(shè)置中心頻率、品質(zhì)因數(shù)和濾波器的工作

21、方式。輸入時(shí)鐘頻率 與 6 位 編程輸入代碼一起決定濾波器的中心頻率或截止頻率,不影響 其他濾波參數(shù)。濾波器 Q 值也可獨(dú)立變成。每個濾波器的獨(dú)立時(shí)鐘輸入 端可以連接晶體振蕩器、FC 網(wǎng)絡(luò)或外部時(shí)鐘發(fā)生器。片內(nèi)開關(guān)和電容提 供反饋以控制每個濾波器的 和 Q。內(nèi)部電容的開關(guān)速率是影響這些參 數(shù)精度的主要因素,盡管這些開關(guān)電容網(wǎng)絡(luò)(SCN)實(shí)際上為采樣系 統(tǒng),但它們的特性可與連續(xù)濾波器(如 RC 快速濾波器)的特性相媲美。 時(shí)鐘頻率與中心頻率之比()保持高值,以便保持理想的二階狀態(tài) 變量響應(yīng)。 該電路由芯片 AT89C52 的 P1 口來控制,由單片機(jī)的 P0.0P0.5 口 及 P2.2 將數(shù)據(jù)

22、送入存儲器 74HC573 存起來再送入 MAX262 。通過設(shè)置 相應(yīng)的參數(shù),可實(shí)現(xiàn)帶寬為 1K-20KHz 的低通、高通、帶通濾波。其濾波 電路如圖 2-5 所示。 10 圖 2-5 四階濾波器 4 4、四階橢圓低通濾波器設(shè)計(jì)電路、四階橢圓低通濾波器設(shè)計(jì)電路 四階橢圓低通濾波器,由運(yùn)放 NE5534、電感、電容等構(gòu)成 LC 有源 濾波電路。經(jīng)仿真,各個參數(shù)都得到了圓滿的解決,其仿真電路和防真 波形如圖 2-6,2-10 所示。 11 圖 2-6 四階低通橢圓濾波器 3 2 6 1 85 74 U1 +12 -12 R11k R2 50 C6 57nF C8 90nF C7 2.7nF GN

23、D 1 2 J2 CON2 GND 1 2 J3 CON2 C1 0.1uF C2 0.1uF + C3 10uF +C4 10uF +12 GNDGND -12 1 2 3 J1 CON3 +12 GND -12 L1 220uH L2 180uH GND C5 1uF 圖 2-7 四階低通橢圓濾波器原理圖設(shè)計(jì) 電路原理: 利用集成運(yùn)算放大器與 RC 低通電路組成有源濾波器,可以提高通 帶電壓放大倍數(shù)和帶負(fù)載能力,我采用運(yùn)放 NE5534,級聯(lián) RC 電路,因 為 NE5534 集成了兩塊運(yùn)放,輸入電壓經(jīng)過四級 RC 低通電路后,接到運(yùn) 放同相端,從而構(gòu)成了四階低通濾波器。從運(yùn)放的輸出端接一

24、個電容到 12 同相端,其實(shí)是引入了一個反饋,其目的是為了使輸出電壓在高頻段迅 速下降,在接近于通帶截至頻率的范圍內(nèi)不致下降太多,從而有利于 0 f 改善濾波特性。當(dāng)時(shí),每級 RC 電路的相位移為-,因?yàn)?0 ff45 ,故兩級的 RC 電路的總相移為-,因此在接近但又 0 () f arctg f 90f 0 f 低于的頻率范圍內(nèi),與的相位差接近-,與同相,所以電 0 f o U i U90 o U i U 容反饋為正反饋,即反饋信號加強(qiáng)了輸入信號的作用,使電壓放大倍數(shù) 增大,在接近時(shí),幅頻特性不會下降的太快。當(dāng)時(shí),每級 RC 電 0 f 0 ff 路的相位移接近-。則兩級 RC 電路的總相

25、移接近-,此時(shí)與90180 o U 反相,反饋為負(fù)反饋,反饋信號減弱輸入信號,放大倍數(shù)降低,于是 i U 高頻段下降快。因?yàn)槭莾蓚€運(yùn)放級聯(lián)構(gòu)成濾波電路,每個運(yùn)放與 RC 低 通電路獨(dú)立濾波,所以濾波效果更優(yōu)于二階低通濾波器。 運(yùn)放輸出信 號再接入 RC、LC 電路,構(gòu)成諧振回路,用于提高電路的抗干擾能力, 選擇出所需信號。 放大倍數(shù)公式如下: 0 10 2 5 1 up o u i A Umv A f Umv j f ,濾波器的截止頻率為。 0 1 2 f RC 1kHZ 13 圖 2-8 信號源 圖 2-9 濾波器輸出信號波形 圖 2-10 橢圓濾波器的波特圖 可以從波特圖中看出,當(dāng)濾波器的

26、截止頻率為 1K時(shí),衰減為 3dB 左右,符合程控濾波器的設(shè)計(jì)要求。 5 5、幅頻特性測試設(shè)計(jì)、幅頻特性測試設(shè)計(jì) 信號源由直接數(shù)字頻率合成器(DDS)構(gòu)成,峰值檢波采用二極管 包絡(luò)檢波電路。信號源經(jīng)被測網(wǎng)絡(luò)后進(jìn)行峰值檢波,再由A/D采集數(shù)據(jù) 送入單片機(jī)處理后由D/A轉(zhuǎn)換送出。測量原理如圖2-11所示。 正弦信號發(fā) 生器 (DDS) 被測網(wǎng)絡(luò) 數(shù)據(jù)采集、處理、 輸出 圖 2-11 幅頻特性測試原理框圖 14 其中,信號源部分電路如下 圖 2-12 信號源電路 6 6、峰值檢波電路、峰值檢波電路 圖 2-13 峰值檢波原理圖 15 + 1 - 2 VOUT 3 U1 1 2 J1 CON2 R2

27、5.1k C1 0.15u C2 0.01u C3 0.047u R1 51k R7 1.8k R6 510 R3 5.1k R8 560 D1 R4 20k R5 100k + C4 47u 1 2 J2 CON2 圖 2-14 峰值檢波原理圖 電路原理:本電路利用二極管的單向?qū)щ娦?,從輸入調(diào)幅波中檢出 原來的低頻調(diào)制信號。輸入端加一運(yùn)算放大器,目的是為了提高由調(diào)幅 部分送來的正常調(diào)幅波的幅度,以滿足大信號峰值檢波的要求。它是由 信號源、運(yùn)放、二極管和低通濾波器串聯(lián)組成,電路有兩個作 L R C L R C 用,一是作為檢波器的負(fù)載,在其兩端輸出調(diào)制信號電壓;二是起到載 波濾波作用。當(dāng)輸入信

28、號較大(大于 0.5V)時(shí),檢波器即可工作于大信 號峰值包絡(luò)檢波狀態(tài)。在高頻信號電壓的正半周時(shí),二極管正向?qū)ú?對電容器 C 充電,由于二極管的正向?qū)娮韬苄。猿潆婋娏?很 d i 大,使電容器上的電壓很快就接近高頻電壓的峰值。這個電壓建立后 c U 通過信號源電路,又反向地加到二極管 D 的兩端。這時(shí)二極管導(dǎo)通與否, 由電容器 C 上的電壓和輸入信號電壓共同決定。當(dāng)高頻信號的瞬時(shí) c U i U 值小于時(shí),二極管處于反向偏置,管子截止,電容器就會通過負(fù)載電 c U 阻 R 放電。由于放電時(shí)間常數(shù) RC 遠(yuǎn)大于調(diào)頻電壓的周期,故放電很慢。 當(dāng)電容器上的電壓下降不多時(shí),調(diào)頻信號第二個正半

29、周的電壓又超過二 16 極管上的負(fù)壓,使二極管又導(dǎo)通。在導(dǎo)通時(shí)間內(nèi)又對電容器充電,電容 器的電壓又迅速接近第二個高頻電壓的最大值。當(dāng)二極管又截止時(shí),電 容器又通過負(fù)載電阻 R 放電,不斷地循環(huán)反復(fù)以上過程,因此只要充電 很快,即充電時(shí)間常數(shù)C 很?。槎O管導(dǎo)通時(shí)的內(nèi)阻) ;而放 d R d R 電時(shí)間常數(shù)足夠慢,即放電時(shí)間常數(shù) RC 很大,滿足C RC,就可 d R 使輸出電壓的幅度接近于輸入電壓的幅度,即傳輸系數(shù)接近 1。另外, c V i V 由于正向?qū)щ姇r(shí)間很短,放電時(shí)間常數(shù)又遠(yuǎn)大于高頻電壓周期(放電時(shí) 的基本不變) ,所以輸出電壓的起伏是很小的,可看成與高頻調(diào)幅波 c V c V 包

30、絡(luò)基本一致。而高頻調(diào)幅波的包絡(luò)又與原調(diào)制信號的形狀相同,故輸 出電壓就是原來的調(diào)制信號,最后接電位器和固定電阻,是為了增大 c V 電路的負(fù)載,而檢波器總是通過大容量的耦合電容與其他電路相接。 圖 2-15 信號源 17 圖 2-16 檢波器輸入、輸出波形 根據(jù)示波器的仿真示意圖,可以看出輸入、輸出信號的波形基本一 致,可以很好的回復(fù)解調(diào)信號。 三、系統(tǒng)電路詳解三、系統(tǒng)電路詳解 (一)器件介紹(一)器件介紹 1 1、單片機(jī)、單片機(jī) AT89C52AT89C52 AT89C52 是一個低電壓,高性能 CMOS 8 位單片機(jī),片內(nèi)含 8k bytes 的可反復(fù)擦寫的 Flash 只讀程序存儲器和

31、256 bytes 的隨機(jī)存取 數(shù)據(jù)存儲器(RAM),器件采用 ATMEL 公司的高密度、非易失性存儲技 術(shù)生產(chǎn),兼容標(biāo)準(zhǔn) MCS-51 指令系統(tǒng),片內(nèi)置通用 8 位中央處理器和 Flash 存儲單元,功能強(qiáng)大的 AT89C52 單片機(jī)可為您提供許多較復(fù)雜系 統(tǒng)控制應(yīng)用場合。AT89C52 有 40 個引腳,32 個外部雙向輸入/輸出 18 (I/O)端口,同時(shí)內(nèi)含 2 個外中斷口,3 個 16 位可編程定時(shí)計(jì)數(shù)器,2 個全雙工串行通信口,2 個讀寫口線。 圖 3-1 AT89C52 引腳示意圖 表 3-1 AT89C52 引腳說明表 名 稱功 能 Vcc接+5V 電源正端 Vss接+5V 電

32、源地端 XTAL1 接外部石英晶體的一端。在單片機(jī)內(nèi)部,它是一個反相放大器的 輸入端,構(gòu)成片內(nèi)振蕩器。 XTAL2 接外部石英晶體的一端。在單片機(jī)內(nèi)部,它是片內(nèi)振蕩器的輸出 端。 口 0 P統(tǒng)稱為口。作為雙向口。 0.0 P 0.7 P 0 P I O 口 1 P統(tǒng)稱為口。作為雙向口。 1.0 P 1.7 P 1 P I O 口 2 P統(tǒng)稱為口。作為雙向口。 2.0 P 2.7 P 2 P I O RXD串行口輸入端 TXD串行口輸出端 0INT外部中斷 0 請求輸入端,低電平有效 1INT外部中斷 1 請求輸入端,低電平有效 19 T0定時(shí)器/計(jì)數(shù)器 0 計(jì)數(shù)脈沖輸入端 T1定時(shí)器/計(jì)數(shù)器

33、1 計(jì)數(shù)脈沖輸入端 WR外部數(shù)據(jù)存儲器寫選通信號輸出端,低電平有效 RD外部數(shù)據(jù)存儲器讀選通信號輸出端,低電平有效 ALE/ PROG 地址鎖存有效信號輸出端 PSEN 片外程序存儲器讀選通信號輸出端,低電平有效 RST上電復(fù)位或掉電保護(hù)端 / EA PP V 為片外程序存儲器選用端。低電平時(shí),只選用片外程序存儲EA 器,否則選用片內(nèi)存儲器。 2 2、濾波器芯片、濾波器芯片 MAX262MAX262 MAX262 芯片是 Maxim 公司推出的雙二階通用開關(guān)電容有源濾波器, 可通過微處理器精確控制濾波器的傳遞函數(shù)(包括設(shè)置中心頻率、品質(zhì) 因數(shù)和工作方式)。它采用 CMOS 工藝制造,在不需外部

34、元件的情況 MAX262 引腳就可以構(gòu)成各種帶通、低通、高通、帶阻和全通濾波器。 圖 3-2 MAX262 內(nèi)部結(jié)構(gòu)圖 20 圖 3-3 MAX262 引腳示意圖 表 3-2 MAX262 引腳說明表 名 稱功 能 V+正電源電壓端 V-負(fù)電源電壓端 GND 模擬地。對雙電源工作接到系統(tǒng)地,對單電源工作接到電源電 1 2 壓。在單電源應(yīng)用中,GND 應(yīng)很好地接旁路。 A CLK 濾波器 A 的振蕩器輸入及時(shí)鐘輸入端,這個時(shí)鐘在內(nèi)部被二分頻。 B CLK 濾波器 B 的振蕩器輸入及時(shí)鐘輸入端,這個時(shí)鐘在內(nèi)部被二分頻。 CLK OUT晶體和 RC 振蕩器工作的時(shí)鐘輸出端 OSC OUT振蕩器輸出端

35、。自時(shí)鐘工作時(shí),連接到晶體。 INA,INB濾波器輸入端 BPA,BPB帶通輸出端 LPA,LPB低通輸出端 HPA,HPB高通/陷波/全通輸出端 _ WR 寫允許輸入端 A0,A1,A2,A3和 Q 輸入數(shù)據(jù)單元的地址輸入端 0 f 21 , 0 D 1 D和 Q 編程數(shù)據(jù)輸入端 0 f OPOUT自由運(yùn)算放大器輸出端 OPIN自由運(yùn)算放大器輸入端 3 3、固定放大器、固定放大器 PGA103PGA103 PGA103 是增益可控的放大器,通過兩個 CMOS/TTL 開關(guān)可選擇不同 的增益值(1,10,100) 。 圖 3-4 PGA103 引腳示意圖 表 3-3 PGA103 引腳說明表

36、名 稱功 能 VIN信號輸入端 VOUT信號輸出端 A1放大倍數(shù)控制端 A0放大倍數(shù)控制端 A1=0,A0=0放大倍數(shù)為 1 A1=0,A0=1放大倍數(shù)為 10 A1=1,A0=1放大倍數(shù)為 100 V+電源正端(MIN+4.5,TYP=+15,MAX=+18) 22 V-電源負(fù)端(MIN-4.5,TYP=-15,MAX=-18) GND接地端 4 4、AD7520AD7520 AD7520 是一種廉價(jià)型的 10 位 D/A 轉(zhuǎn)換芯片,其輸出 Vout=DnVref/210,其中 Dn 為 10 位數(shù)字量輸入的二進(jìn)制值,可滿足 =1024 擋增益調(diào)節(jié),滿足題目的精度要求。它由 CMOS 電流開

37、關(guān)和梯形 10 2 電阻網(wǎng)絡(luò)構(gòu)成,具有結(jié)構(gòu)簡單、精確度高、體積小、控制方便、外圍布 線簡化等特點(diǎn)。 圖 3-5 AD7520 引腳示意圖 表 3-4 AD7520 引腳說明表 名 稱功 能 1out l信號輸出端 2out l虛擬地端 GND數(shù)字地端 MSB信號和數(shù)字位 LSB信號和數(shù)字位 23 BIT2BIT9數(shù)字位 V+電源(+5v+15v) REF V參考電壓輸入端 RFEEDBACK反饋電阻 (二)功能電路圖(二)功能電路圖 1 1、程控放大電路、程控放大電路 GND 3 A0 1 A1 2 V IN 4 VOUT 7 NC 5 -V 6 +V 8 U6 CON9 GND 3 A0 1

38、 A1 2 V IN 4 VOUT 7 NC 5 -V 6 +V 8 U7 CON9 1 2 J2 CON2 GNDVCC VCC GND GNDGND Vdd 14 Iout1 1 MSB-1 4 BIT-2 5 Iout2 2 BIT-3 6 BIT-4 7 BIT-5 8 Rfb 16 BIT-6 9 BIT-7 10 BIT-8 11 VrefIN 15 BIT-9 12 LSB-10 13 U5 AD7520 GND 3 A0 1 A1 2 V IN 4 VOUT 7 NC 5 -V 6 +V 8 U4 PGA103 GND 12 34 56 78 910 1112 1314 151

39、6 1718 1920 2122 2324 2526 JP1 HEADER 13X2 C5 CAP +12-12+12-12 BPa 1 opiut 2 hp 3 opin 4 ina 5 d1 6 a3 7 clkout 8 v+ 9 a2 10 clka 11 clkb 12 a1 13 a0 14 wr 15 v- 16 gnd 17 oscout 18 d0 19 hpb 20 bpb 21 lpb 22 inb 23 lpa 24 U1 MAX262 X0 13 X1 14 X2 15 X3 12 X4 1 X5 5 X6 2 X7 4 INH 6 A 11 B 10 C 9 VEE

40、 7 X 3 U2 +12 -12 GND VCC -5 Y1 CRYSTAL BIT0 BIT1 BIT2 BIT3 BIT4 BIT5 BIT6 BIT7 BIT0BIT1 BIT2BIT3 BIT4BIT5 BIT6BIT7 GND X0 13 X1 14 X2 15 X3 12 X4 1 X5 5 X6 2 X7 4 INH 6 A 11 B 10 C 9 VEE 7 X 3 U3 IPBIPB BPBBPB HPBHPB A0A1 A2A3 B0B1 KONG1 KONG2 KONG1KONG2 KONG3 KONG4 KONG3KONG4 -5V WR WR A0 A1 A2 A3

41、 B0B1 +12V-12V VCCGND +12V +12V +12V -12V -12V -12V +12 +12V GND GND GND GND GND 1 2 J1 CON2 GND GND VCC-5V C3 104pF C4 104pF + C1 10uF + C2 10uF VCC GND VCC GND GND GND 圖 3-6 程控放大電路原理圖 電路原理: 本電路由三個模塊組成,分別是前級放大,后級放大,濾波控制。 前級放大主要是由兩個 PGA103 規(guī)定放大器組成,前兩個放大器級 聯(lián),輸出信號送入 AD7520 的參考電壓端,為了易于實(shí)現(xiàn)最大 60dB 增益 的調(diào)節(jié),

42、我采用 D/A 芯片 AD7520 的電阻權(quán)網(wǎng)絡(luò)改變反饋電壓進(jìn)而控制電 路增益。AD7520 是一種 10 位 D/A 轉(zhuǎn)換芯片,其輸出 Vout=DnVref/210,其 24 中 Dn 為 10 位數(shù)字量輸入的二進(jìn)制值,可滿足=1024 擋增益調(diào)節(jié),精度 10 2 滿足要求。但由于 AD7520 對輸入?yún)⒖茧妷?Vref 有一定幅度要求,為使 輸入信號在 mVV 每一數(shù)量級都有較精確的增益,最好使信號在到達(dá) AD7520 前經(jīng)過一個適應(yīng)性的幅度放大調(diào)整,再通過 AD7520 衰減后進(jìn)行相 應(yīng)的后級放大,并使前后級增益積為 1024,與 AD7520 的衰減分母抵消,即 可實(shí)現(xiàn)程控放大。鑒于

43、此,故在 AD7520 輸出端接入了一個 PGA103,用 于后級放大,經(jīng)放大的信號輸入濾波器芯片 MAX262,由兩個 4051(3-8 譯碼器)對 MAX262 輸出的濾波器種類進(jìn)行控制。U2 控制、 A LP 、,U3 控制、。 A BP A HP B LP B BP B HP 而對 4051、MAX262 的控制則由單片機(jī) AT89C52 單元模塊電路控 制。 2 2、程控濾波電路、程控濾波電路 25 EA/VP 31 X1 19 X2 18 RESET 9 RD 17 WR 16 INT0 12 INT1 13 T0 14 T1 15 P10 1 P11 2 P12 3 P13 4

44、P14 5 P15 6 P16 7 P17 8 P00 39 P01 38 P02 37 P03 36 P04 35 P05 34 P06 33 P07 32 P20 21 P21 22 P22 23 P23 24 P24 25 P25 26 P26 27 P27 28 PSEN 29 ALE/P 30 TXD 11 RXD 10 U1 INDUCTOR1 OC 1 C 11 1D 2 2D 3 3D 4 4D 5 5D 6 6D 7 7D 8 8D 9 1Q 19 2Q 18 3Q 17 4Q 16 5Q 15 6Q 14 7Q 13 8Q 12 U2 INDUCTOR1 OC 1 C 11

45、 1D 2 2D 3 3D 4 4D 5 5D 6 6D 7 7D 8 8D 9 1Q 19 2Q 18 3Q 17 4Q 16 5Q 15 6Q 14 7Q 13 8Q 12 U3 INDUCTOR1 1 2 3 4 5 6 7 8 J3 CON8 1 2 3 4 5 6 J2 CON6 1 2 3 U4A 74LS00 E GND GNDP20 P21 P22 P23 P24 P25 P20 P21 E R/W RS VCC GND R1 RESISTOR TAPPED P00 P01 P02 P03 P04 P05 P06 P07 P00 P01 P02 P03 P04 P05 P06

46、P07 RS R/W 12 34 56 78 910 1112 1314 1516 1718 1920 2122 2324 2526 JP1 HEADER 13X2 VCC Y1 12M C5 30pF C7 30pF GND + C6 22uF R3 10K S1 SW-PB VCC GND VCCGND C1 104pF C2 104pF + C3 10uF + C4 10uF VCC GND VCC GND D1 LED R2 300 GND T0 T1 RXD TXD T0T1 RXDTXD VCCGND +12V-12V -5V 1 2 3 4 5 6 J1 CON6 -5 -5V

47、VCCGND 1 2 3 4 5 6 J4 CON6 +12V-12VGND GND-12V+12V QW0 QW1 QW2 QW3 QW4 QW5 QW6 QW7 QW0QW1 QW2QW3 QW4QW5 QW6QW7 QQ0 QQ1 QQ2 QQ3 QQ4 QQ5 QQ6 QQ7 QQ0QQ1 QQ2QQ3 QQ4QQ5 QQ6QQ7 1 2 3 4 5 6 7 8 J6 CON8 P00 P01 P02 P03 P04 P05 P06 P07 C9 104pF C8 104pF + C10 10uF + C11 10uF VCCVCC GND GND P22 1 2 3 4 5 6 7

48、8 9 J5 CON9 圖 3-7 程控濾波電路原理圖 電路原理: 本模塊是本次設(shè)計(jì)的核心部分,是整個電路的控制部分,單片機(jī)采 用了 AT89C52,外接兩個 74HC573 鎖存器,P1 口作為輸入口,P0、P2 口 作為輸出口,接入兩個鎖存器,經(jīng)過延時(shí)后,與程控放大電路相連,組 成完整的系統(tǒng)電路。 單片機(jī) X1、X2 外接石英晶體,構(gòu)成片內(nèi)振蕩器,為單片機(jī)提供穩(wěn) 定的時(shí)鐘頻率,接高電平,選用片內(nèi)程序存儲器,通過 74LS00 選 _ / P EA V 通片外數(shù)據(jù)存儲器。P0 口輸出的數(shù)據(jù)分別送入兩個 74HC 573 鎖存器, 待時(shí)鐘頻率一致后,輸出數(shù)據(jù)分別控制 AD7520 的放大增益值

49、和濾波器 26 芯片 MAX262 的和 Q 輸入數(shù)據(jù)單元和編程數(shù)據(jù)單元。 0 f 單片機(jī)的 T0、T1 口對譯碼器 1(U2)進(jìn)行控制,從而間接對濾波器 芯片 MAX262 選擇濾波器的種類進(jìn)行控制,分別為、。 RXD A LP A BP A HP 和 TXD 口對譯碼器 2(U3)進(jìn)行控制,MAX262 選通濾波器分別為、 B LP 、。 B BP B HP 3 3、系統(tǒng)總機(jī)電路、系統(tǒng)總機(jī)電路 EA/VP 31 X1 19 X2 18 RESET 9 RD 17 WR 16 INT0 12 INT1 13 T0 14 T1 15 P10 1 P11 2 P12 3 P13 4 P14 5

50、P15 6 P16 7 P17 8 P00 39 P01 38 P02 37 P03 36 P04 35 P05 34 P06 33 P07 32 P20 21 P21 22 P22 23 P23 24 P24 25 P25 26 P26 27 P27 28 PSEN 29 ALE/P 30 TXD 11 RXD 10 U1 INDUCTOR1 OC 1 C 11 1D 2 2D 3 3D 4 4D 5 5D 6 6D 7 7D 8 8D 9 1Q 19 2Q 18 3Q 17 4Q 16 5Q 15 6Q 14 7Q 13 8Q 12 U2 INDUCTOR1 OC 1 C 11 1D 2

51、2D 3 3D 4 4D 5 5D 6 6D 7 7D 8 8D 9 1Q 19 2Q 18 3Q 17 4Q 16 5Q 15 6Q 14 7Q 13 8Q 12 U3 INDUCTOR1 1 2 3 4 5 6 7 8 J3 CON8 1 2 3 4 5 6 J2 CON6 1 2 3 U4A 74LS00 E GND GNDP20 P21 P22 P23 P24 P25 P20 P21 E R/W RS VCC GND R1 RESISTOR TAPPED P00 P01 P02 P03 P04 P05 P06 P07 P00 P01 P02 P03 P04 P05 P06 P07 RS

52、 R/W 12 34 56 78 910 1112 1314 1516 1718 1920 2122 2324 2526 JP1 HEADER 13X2 VCC Y1 12M C5 30pF C7 30pF GND + C6 22uF R3 10K S1 SW-PB VCC GND VCCGND C1 104pF C2 104pF + C3 10uF + C4 10uF VCC GND VCC GND D1 LED R2 300 GND T0 T1 RXD TXD T0T1 RXDTXD VCCGND +12V-12V -5V 1 2 3 4 5 6 J1 CON6 -5 -5V VCCGND

53、 1 2 3 4 5 6 J4 CON6 +12V-12VGND GND-12V+12V QW0 QW1 QW2 QW3 QW4 QW5 QW6 QW7 QW0QW1 QW2QW3 QW4QW5 QW6QW7 QQ0 QQ1 QQ2 QQ3 QQ4 QQ5 QQ6 QQ7 QQ0QQ1 QQ2QQ3 QQ4QQ5 QQ6QQ7 1 2 3 4 5 6 7 8 J6 CON8 P00 P01 P02 P03 P04 P05 P06 P07 C9 104pF C8 104pF + C10 10uF + C11 10uF VCCVCC GND GND P22 1 2 3 4 5 6 7 8 9 J5

54、 CON9 GND 3 A0 1 A1 2 V IN 4 VOUT 7 NC 5 -V 6 +V 8 U6 CON9 GND 3 A0 1 A1 2 V IN 4 VOUT 7 NC 5 -V 6 +V 8 U7 CON9 1 2 J2 CON2 GNDVCC VCC GND GNDGND Vdd 14 Iout1 1 MSB-1 4 BIT-2 5 Iout2 2 BIT-3 6 BIT-4 7 BIT-5 8 Rfb 16 BIT-6 9 BIT-7 10 BIT-8 11 VrefIN 15 BIT-9 12 LSB-10 13 U5 AD7520 GND 3 A0 1 A1 2 V I

55、N 4 VOUT 7 NC 5 -V 6 +V 8 U4 PGA103 GND 12 34 56 78 910 1112 1314 1516 1718 1920 2122 2324 2526 JP1 HEADER 13X2 C5 CAP +12-12+12-12 BPa 1 opiut 2 hp 3 opin 4 ina 5 d1 6 a3 7 clkout 8 v+ 9 a2 10 clka 11 clkb 12 a1 13 a0 14 wr 15 v- 16 gnd 17 oscout 18 d0 19 hpb 20 bpb 21 lpb 22 inb 23 lpa 24 U1 MAX26

56、2 X0 13 X1 14 X2 15 X3 12 X4 1 X5 5 X6 2 X7 4 INH 6 A 11 B 10 C 9 VEE 7 X 3 U2 +12 -12 GND VCC -5 Y1 CRYSTAL BIT0 BIT1 BIT2 BIT3 BIT4 BIT5 BIT6 BIT7 BIT0BIT1 BIT2BIT3 BIT4BIT5 BIT6BIT7 GND X0 13 X1 14 X2 15 X3 12 X4 1 X5 5 X6 2 X7 4 INH 6 A 11 B 10 C 9 VEE 7 X 3 U3IPB IPBBPB BPBHPB HPB A0A1 A2A3 B0B

57、1 KONG1 KONG2 KONG1KONG2 KONG3 KONG4 KONG3KONG4 -5V WR WR A0 A1 A2 A3 B0B1 +12V-12V VCCGND +12V +12V +12V -12V -12V -12V +12 +12V GND GND GND GND GND 1 2 J1 CON2 GND GND VCC-5V C3 104pF C4 104pF + C1 10uF + C2 10uF VCC GND VCC GND GND GND 圖 3-8 總機(jī)電路原理圖 27 圖 3-9 總機(jī)電路的 PCB 板圖 總體電路原理: 總機(jī)電路如圖 38 所示,輸入信號

58、先通過兩塊 PGA103 固定放大 器,經(jīng)放大的信號輸入 AD7520 轉(zhuǎn)換器,經(jīng)轉(zhuǎn)換后的信號通過一個 26 口的接頭與單片機(jī) AT89C52 口相連,而單片機(jī)口的輸出數(shù)據(jù)經(jīng)過 I o 0 P 0 P 兩個 573 鎖存器進(jìn)行鎖存后,一個用于控制 AD7520 的放大增益,一個 用于控制 MAX262 截至頻率和品質(zhì)因素?cái)?shù)據(jù)和程序輸入。 而對于 MAX262 來說,外接兩個 38 譯碼器是為了選擇濾波器的 輸出種類,因?yàn)?MAX262 可以輸出低通、高通、帶通、帶阻以及全通濾 波器,第一個譯碼器的 X0,X1,X2 口與 MAX262 的、口相 A LP A BP A HP 連,而譯碼器的控制

59、口 A、B、C 則與單片機(jī) P3 口相接,由單片機(jī)控制 其輸出,其中 C 口接地,選擇三個輸出,只需兩個控制端口就可以實(shí)現(xiàn) 了;第二個譯碼器的 X0,X1,X2 口與 MAX262 的、口相連, B LP B BP B HP 28 同樣由單片機(jī)控制其輸出。由單片機(jī)的定時(shí)器/計(jì)數(shù)器 0 和定時(shí)器/計(jì)數(shù) 器 1 控制第一個譯碼器選擇 MAX262 輸出、或?yàn)V波器,由單片 A LP A BP A HP 機(jī)的 RXD 和 TXD 串行輸入輸出端口控制另一個譯碼器選擇 MAX262 輸出 、或?yàn)V波器。濾波器芯片 MAX262 寫允許輸入端由單片機(jī) B LP B BP B HP P2.2 口控制,一般情況

60、下為低電平有效。MAX262 的、外 _ WR A CLK B CLK 接一石英晶體,與振蕩器輸出端相連,構(gòu)成振蕩器。 對于單片機(jī) AT89C52 來說,XTAL1 與 XTAL2 外接一 12M 的石英晶體, 共同構(gòu)成了片內(nèi)振蕩器,接電源,目的是選用片內(nèi)程序存儲器; _ / PP EA V RET 外接開關(guān),用于單片機(jī)復(fù)位;外部數(shù)據(jù)存儲器的讀、寫端外接 74LS00 二輸入與非門,低電平有效,而系統(tǒng)并沒有擴(kuò)展外部數(shù)據(jù)存儲器, 所以、為高電平,經(jīng) 74LS00 輸出為低電平。 _ RD _ WR 單片機(jī)的 P2.0 與 P2.1 口分別用于啟動兩個 573 鎖存器。 (三)系統(tǒng)測試及其結(jié)果(三

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論