正弦信號(hào)發(fā)生器_第1頁(yè)
正弦信號(hào)發(fā)生器_第2頁(yè)
正弦信號(hào)發(fā)生器_第3頁(yè)
正弦信號(hào)發(fā)生器_第4頁(yè)
正弦信號(hào)發(fā)生器_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、中北大學(xué)信息商務(wù)學(xué)院2013屆本科課程設(shè)計(jì)說(shuō)明書(shū)課 程 設(shè) 計(jì) 任 務(wù) 書(shū)1設(shè)計(jì)目的:通過(guò)本次課程設(shè)計(jì),對(duì)數(shù)字處理器以及轉(zhuǎn)換器更進(jìn)一步的了解,同時(shí)也對(duì)正弦波的幅值,頻率進(jìn)一步的掌握。2設(shè)計(jì)內(nèi)容和要求(包括原始數(shù)據(jù)、技術(shù)參數(shù)、條件、設(shè)計(jì)要求等):設(shè)計(jì)內(nèi)容:1、了解觸發(fā)器、譯碼器的工作原理2、熟悉轉(zhuǎn)換器ADC0809,AD9851,TLC549X轉(zhuǎn)換器的了解。3、輸入頻率的范圍0-180MHZ。4、調(diào)試部分分為硬件調(diào)試,軟件調(diào)試,軟件和硬件聯(lián)調(diào)。3設(shè)計(jì)工作任務(wù)及工作量的要求包括課程設(shè)計(jì)計(jì)算說(shuō)明書(shū)(論文)、圖紙、實(shí)物樣品等:課程設(shè)計(jì)說(shuō)明書(shū)一份電路原理圖對(duì)各部分電路進(jìn)行仿真課 程 設(shè) 計(jì) 任 務(wù) 書(shū)4

2、主要參考文獻(xiàn): 1、梁恩主 .Protel 99SE電路設(shè)計(jì)與仿真應(yīng)用. 北京:清華大學(xué)出版社,2000 2、姚福安 .電子電路設(shè)計(jì)與實(shí)踐.山東:山東科學(xué)技術(shù)出版社,2002 3、康華光 .數(shù)字電子基礎(chǔ). 北京:高等教育出版社,1999 4、楊志亮 .ProtelDXP電路原理圖設(shè)計(jì)技術(shù). 山西:西北工業(yè)大學(xué)出版社,2002 5設(shè)計(jì)成果形式及要求:設(shè)計(jì)說(shuō)明書(shū)電路原理圖電路的仿真結(jié)果6工作計(jì)劃及進(jìn)度:1月4日 1月6日:分析課程設(shè)計(jì)任務(wù)書(shū),查找資料,確定初步方案;1月7日 1月9日:論證確定方案以及核心電路的設(shè)計(jì);1月10日 1月14日:進(jìn)行詳細(xì)設(shè)計(jì),電路仿真,分析仿真結(jié)果,撰寫(xiě)課程設(shè)計(jì)說(shuō)明書(shū);

3、 1月15日:答辯系主任審查意見(jiàn): 簽字: 年 月 日目錄1. 摘要2. 方案比較與論證.6 1.常見(jiàn)信號(hào)源的制作方法.6 2.調(diào)幅電路.6 3.調(diào)頻電路.6 4.顯示模塊.6 5.A/D轉(zhuǎn)換模塊.7 三.系統(tǒng)硬件設(shè)計(jì).7 1.模塊說(shuō)明 .8 2. 理論分析與參數(shù)計(jì)算.93. 系統(tǒng)軟件設(shè)計(jì) .12 4. 系統(tǒng)調(diào)試.12 1.調(diào)試.13 2.聯(lián)調(diào).145. 測(cè)試方法與結(jié)果分析.146. 總結(jié).157. 參考文獻(xiàn).168. 設(shè)計(jì)心得.16摘要 當(dāng)代電子技術(shù)的迅速發(fā)展,為人們的文化、物質(zhì)生活提供了優(yōu)越的條件,數(shù)碼攝像機(jī)、家庭影院、空調(diào)、電子計(jì)算機(jī)等,都是典型的電子技術(shù)應(yīng)用實(shí)例,可謂是琳瑯滿目、異彩紛

4、呈。至于電子技術(shù)在科技領(lǐng)域的應(yīng)用,更是起著龍頭的作用,例如通信工程、測(cè)控技術(shù)、空間科學(xué)等比比皆是。而信號(hào)發(fā)生器在電子技術(shù)中發(fā)揮著重要的作用。所謂信號(hào)發(fā)生器就是不需要外部電路輸入信號(hào),自身能夠產(chǎn)生某種信號(hào)的電路。許多電子電器中用到了各種形式的信號(hào)發(fā)生器(振蕩器),其中大多數(shù)是正弦波振蕩器,例如收音機(jī)中的本機(jī)振蕩、錄音機(jī)中的超音頻振蕩器、彩色電視機(jī)中的副載波壓控振蕩器,以及各種儀表中的振蕩電路應(yīng)用等。 本設(shè)計(jì)主要是以RC振蕩器為主的正弦信號(hào)發(fā)生器。并輸出不同頻率的正弦信號(hào)。二.方案比較與論證 1.常見(jiàn)信號(hào)源制作方法方案 一 :采用模擬分立元件,可產(chǎn)生正弦波,方波,三角波,通過(guò)調(diào)整外部元件可改變輸出

5、頻率,但采用模擬器件由于分散性太大,即使使用數(shù)字信號(hào)發(fā)生器,參數(shù)也揶揄外部元件有關(guān),因而產(chǎn)生的頻率穩(wěn)定度較差,精度不高,抗干擾能力較低成本較高。方案 二 :采用鎖相式頻率合成方案,鎖相式頻率合成是將一個(gè)高穩(wěn)定度和高精度的標(biāo)準(zhǔn)頻率經(jīng)過(guò)加減乘除的運(yùn)算產(chǎn)生同樣穩(wěn)定度和精確度的 大量離散頻率的技術(shù),它在一定程度上解決了既要頻率穩(wěn)定精確,又要頻率在較大范圍內(nèi)可變的矛盾,但頻率受VCO可變頻率范圍響,高低頻率比不可能作得很高。方案 三:采用DDS,即直接數(shù)據(jù)頻率合成。 它以有別于其它頻率合成方法的優(yōu)越性能和特點(diǎn)成為現(xiàn)代頻率合成技術(shù)中的佼佼者。具體體現(xiàn)在相對(duì)帶寬、頻率轉(zhuǎn)換時(shí)間短、頻率分辨率高、輸出相位連續(xù)、

6、可產(chǎn)生寬帶正交信號(hào)及其他多種調(diào)制信號(hào)、可編程和全數(shù)字化、控制靈活方便等方面,并具有極高的性價(jià)比,正因如此,我們采用方案三。 2調(diào)幅電路方案 一 用模擬乘法器轉(zhuǎn)換器ADC0809實(shí)現(xiàn)調(diào)制信號(hào)對(duì)載波信號(hào)的幅度調(diào)制,由于輸出正弦波頻率非常高,根據(jù)我們的調(diào)試,從1K到1MHZ 得出的波形是很好,但從1MHZ至10MHZ時(shí)由于輸出幅度不夠,波形明顯失真。方案 二 用增益可變運(yùn)放AD603,其傳輸帶寬高達(dá)90MHZ,完全可以滿足輸出信號(hào)頻率的要求,因此,方案二是較理想的選擇。3調(diào)頻電路 方案 一 :D/A控制 此方案預(yù)先測(cè)試和計(jì)算好產(chǎn)生固定頻率所需的控制電壓,為方便控制將它量化存于ROM之中,在需要時(shí)利用

7、數(shù)字信號(hào)處理器控制D/A轉(zhuǎn)換即可完成,此方案設(shè)計(jì)的是一個(gè)開(kāi)環(huán)的系統(tǒng),他的穩(wěn)定性不好,且頻率步進(jìn)無(wú)法做得很小。方案 二 :壓控振蕩器壓控振蕩器的輸出頻率是隨著輸入電壓的改變而改變的,鑒于此,如果用調(diào)制信號(hào)來(lái)控制壓控振蕩器的輸入電壓,即可實(shí)現(xiàn)調(diào)頻。這樣顯然簡(jiǎn)單而容易控制,且精度較高。因此我們選擇方案 二。 4顯示模塊方案一 采用普通LED 顯示,其優(yōu)點(diǎn)是操作方便,但顯示信息及功能少,且耗電量大。方案二 采用液晶(LCD)顯示,界面形象清晰,內(nèi)容豐富,可顯示復(fù)雜字符,易于和芯片接口,且耗電少,故優(yōu)先采用。 5A/D轉(zhuǎn)換模塊方案一 用8位A/D轉(zhuǎn)換器ADC0809實(shí)現(xiàn)。方案二 用8位串行A/D轉(zhuǎn)換器T

8、LC549實(shí)現(xiàn),()是公司生產(chǎn)的一種低價(jià)位、高性能的位轉(zhuǎn)換器,它以位開(kāi)關(guān)電容逐次逼近的方法實(shí)現(xiàn)轉(zhuǎn)換,其轉(zhuǎn)換速度小于,它能方便地采用三線串行接口方式與各種微處理器連接,構(gòu)成各種廉價(jià)的測(cè)控應(yīng)用系統(tǒng),且讀寫(xiě)TLC549比讀寫(xiě)ADC0809簡(jiǎn)單,故我們選擇方案二。三. 系統(tǒng)硬件設(shè)計(jì)1模塊說(shuō)明(1)用數(shù)字信號(hào)處理器DSP控制AD9851產(chǎn)生頻率為1K至10MHZ的正弦波,自動(dòng)增益控制實(shí)現(xiàn)增益自動(dòng)調(diào)節(jié),當(dāng)輸出幅度過(guò)大或偏小時(shí),通過(guò)數(shù)字信號(hào)處理器DSP檢波電路和A/D 采樣調(diào)節(jié)增益大小。放大級(jí)對(duì)已調(diào)信號(hào)進(jìn)行幅度放大,然后輸出至負(fù)載。(2)檢波電路對(duì)輸出信號(hào)采樣,經(jīng)過(guò)A/D轉(zhuǎn)換送給數(shù)字信號(hào)處理器DSP處理。(

9、3)顯示模塊對(duì)輸出信號(hào)動(dòng)態(tài)顯示(4)芯片AT89C52控制壓控振蕩器產(chǎn)生頻0率隨調(diào)制信號(hào)變化的信號(hào),并把已調(diào)信號(hào)送到AD9851,作為AD9851的時(shí)鐘頻率,從而實(shí)現(xiàn)對(duì)載波信號(hào)的調(diào)頻。(5)模數(shù)轉(zhuǎn)換用8位串行A/DTLC549即可實(shí)現(xiàn)。(6)二進(jìn)制數(shù)字基帶信號(hào)用數(shù)字信號(hào)處理器直接產(chǎn)生,這種方式簡(jiǎn)便,快捷,而且穩(wěn)定度很好 圖3.1 數(shù)字信號(hào)處理過(guò)程的原理圖2理論分析與參數(shù)計(jì)算(1)正弦信號(hào)發(fā)生器DDS是產(chǎn)生高精度、快速變換頻率、輸出波形失真小的優(yōu)先選用技術(shù)。DDS以穩(wěn)定度高的參考時(shí)鐘為參考源,通過(guò)精密的相位累加器和數(shù)字信號(hào)處理,通過(guò)高速D/A變換器產(chǎn)生所需的數(shù)字波形(通常是正弦波形),這個(gè)數(shù)字波

10、經(jīng)過(guò)一個(gè)模擬濾波器后,得到最終的模擬信號(hào)波形。如圖2所示,通過(guò)高速DAC產(chǎn)生數(shù)字正弦數(shù)字波形,通過(guò)帶通濾波器后得到一個(gè)對(duì)應(yīng)的模擬正弦波信號(hào),最后該模擬正弦波與一門(mén)限進(jìn)行比較得到方波時(shí)鐘信號(hào)。DDS系統(tǒng)一個(gè)顯著的特點(diǎn)就是在數(shù)字處理器的控制下能夠精確而快速地處理頻率和相位。除此之外,DDS的固有特性還包括:相當(dāng)好的頻率和相位分辨率(頻率的可控范圍達(dá)Hz級(jí),相位控制小于0.09),能夠進(jìn)行快速的信號(hào)變換(輸出DAC的轉(zhuǎn)換速率300百萬(wàn)次/秒)。這些特性使DDS在軍事雷達(dá)和通信系統(tǒng)中應(yīng)用日益廣泛。本系統(tǒng)采用了美國(guó)模擬器件公司采用先進(jìn)DDS直接數(shù)字頻率合成技術(shù)生產(chǎn)的高集成度產(chǎn)品AD9851芯片。AD98

11、51是在AD9850的基礎(chǔ)上,做了一些改進(jìn)以后生成的具有新功能的DDS芯片。AD9851相對(duì)于AD9850的內(nèi)部結(jié)構(gòu),只是多了一個(gè)6倍參考時(shí)鐘倍乘器,當(dāng)系統(tǒng)時(shí)鐘為180MHz時(shí),在參考時(shí)鐘輸入端,只需輸入30MHz的參考時(shí)鐘即可。如圖4(AD9851內(nèi)部結(jié)構(gòu))所示,AD9851是由數(shù)據(jù)輸入寄存器、頻率/相位寄存器、具有6倍參考時(shí)鐘倍乘器的DDS芯片、10位的模/數(shù)轉(zhuǎn)換器、內(nèi)部高速比較器這幾個(gè)部分組成。其中具有6倍參考時(shí)鐘倍乘器的DDS芯片是由32位相位累加器、正弦函數(shù)功能查找表、D/A變換器以及低通濾波器集成到一起。這個(gè)高速DDS芯片時(shí)鐘頻率可達(dá)180MHz, 輸出頻率可達(dá)70 MHz,分辨率

12、為0.04Hz。為了實(shí)現(xiàn)調(diào)頻,DDS的基準(zhǔn)信號(hào)源采用壓控振蕩器輸出的30 M頻率作為基準(zhǔn)信號(hào)源由于AD9851是貼片式的體積非常小,引腳排列比較密,焊接時(shí)必須小心,還要防靜電擊穿,焊接不好就很容易把芯片給燒壞。還有在使用中數(shù)據(jù)線、電源等接反或接錯(cuò)都很容易損壞芯片。所以在AD9851外圍采用了電源、輸入、輸出、數(shù)據(jù)線的保護(hù)電路。為了不受外界干擾,添加了不少的濾波電路,顯得整個(gè)電路更完美。詳細(xì)電路圖如圖2。 圖3.2對(duì)各端頻率的控制已經(jīng)頻率抑制(2)壓控振蕩器MC1648有兩種基本型VCO的壓控特性,這里我們只采用其中一種第一種基本負(fù)阻集成LC VCO 電路如圖3 示,它僅用一只變?nèi)荻O管,并由芯

13、片MC1648 外加諧振回路組成。MC1648 為集成射極耦合振蕩電路,具有負(fù)阻效應(yīng),輸出MECL 電平。 圖3.3對(duì)MC1648原理圖其詳細(xì)電路圖如圖4所示 圖3.4產(chǎn)生正弦波的原理圖(3) 自動(dòng)增益控制模塊 AD603 的原理框圖1 其原理圖如圖5 所示 圖3.5 增益的原理圖已調(diào)信號(hào)從1K至10MHZ變化,頻帶很寬,用一般的運(yùn)放不能滿足要求,AD603的頻帶寬度為0到90MHZ,完全能夠滿足要求,且為增益可變運(yùn)放,由于頻率高時(shí)信號(hào)衰減比較快,用AD603可實(shí)現(xiàn)對(duì)不同頻率信號(hào)的放大倍數(shù)。其電路他圖6所示 圖3.6用AD603實(shí)現(xiàn)頻率放大的原理圖 (5) 正弦波調(diào)制信號(hào)采用NE555產(chǎn)生1K

14、HZ的正弦波調(diào)制信號(hào),電路如圖7所示,其中AM和FM 都是用此電路產(chǎn)生調(diào)制信號(hào) 圖3.7 AM和FM的信號(hào)調(diào)制的原理圖(6)穩(wěn)幅輸出模塊峰值檢波器獲得輸出電壓的幅值,經(jīng)過(guò)A/D采樣后就得到輸出端當(dāng)前電壓的幅值,送回DDS與預(yù)設(shè)值相比就可以知道輸出下降的情況,從而實(shí)現(xiàn)自動(dòng)增益控制。(7)顯示模塊顯示電路是很重要的人機(jī)界面。在顯示電路中,我們沒(méi)有選擇普通的數(shù)碼管顯示,而是優(yōu)先采用了能夠顯示復(fù)雜字符的5 7 點(diǎn)陣液晶顯示器(1602)。此顯示界面分為上下兩行:提示字符“請(qǐng)輸入頻率:”下一行為頻率值顯示與數(shù)碼管相比,其優(yōu)點(diǎn)是:功耗低,顯示形象直觀,人機(jī)界面友好??刂撇糠郑烘I盤(pán)輸入經(jīng)數(shù)字合成頻率處理后控

15、制AD9851的頻率輸出,達(dá)到智能控制目的。(8)按鍵電路采用4*4鍵盤(pán),系統(tǒng)不停的對(duì)按鍵進(jìn)行掃描,當(dāng)有鍵按下時(shí),即轉(zhuǎn)去執(zhí)行相應(yīng)的程序。(9)A/D轉(zhuǎn)換模塊 圖3.8 A/D轉(zhuǎn)換的模板其中 1和3腳為參考電壓,接電位器可以改變參考電壓,2腳為模擬信號(hào)輸入端,4腳為 接地端,5腳為片選,低電平有效,故接地,6,7,8分別為數(shù)據(jù)輸出端和時(shí)鐘輸入端及電源端。四.系統(tǒng)軟件設(shè)計(jì) 系統(tǒng)軟件流圖如圖4.1所示,通過(guò)按鍵選擇所需要的頻率,操作簡(jiǎn)單快捷。 圖4.1 需要頻率的簡(jiǎn)單流程五.系統(tǒng)調(diào)試根據(jù)方案設(shè)計(jì)要求,調(diào)試過(guò)程公分三大部分,硬件調(diào)試,軟件調(diào)試,軟件和硬件聯(lián)調(diào)。電路按模塊逐個(gè)調(diào)試,各模塊調(diào)試通過(guò)后在聯(lián)調(diào)

16、。程序先在最小系統(tǒng)板調(diào)試,通過(guò)后在軟硬聯(lián)調(diào)。1. 硬件調(diào)試 (1) 高頻電路抗干擾設(shè)計(jì) AD9851的時(shí)鐘頻率很高,對(duì)周?chē)碾娐酚幸欢ǖ挠绊懀覀儾扇×烁鞣N抗干擾措施。例如引線盡量短,減少交叉,盡量減少跳線,在電源輸入端都加上去藕電容,數(shù)字地與模擬地分開(kāi),信號(hào)源與地盡量隔遠(yuǎn),增大接地面積,這就要求設(shè)計(jì)電路時(shí)采取敷銅的方法,實(shí)踐證明,這些措施對(duì)消除某些引腳上的毛刺及干擾噪聲起到了很好的作用。 (2)由于輸出頻率很高,因此對(duì)運(yùn)放的帶寬有一定的要求,我們選擇了帶寬較大的AD811。2. 軟件調(diào)試 本系統(tǒng)的軟件系統(tǒng)采用C51寫(xiě),調(diào)試也是分模塊進(jìn)行,各個(gè)模塊調(diào)試通過(guò)函數(shù)里調(diào)用,這樣寫(xiě)結(jié)構(gòu)明了,出錯(cuò)是容易

17、差錯(cuò)。3. 軟硬聯(lián)調(diào) 按程序定義的各個(gè)口分別把線接好,然后把程序?qū)戇M(jìn)數(shù)字信號(hào)處理器控制各個(gè)模塊六.測(cè)試方法與結(jié)果分析(1)通過(guò)鍵盤(pán)輸入所需的頻率,然后按確認(rèn)鍵即可從示波器上看到輸出信號(hào),輸出頻率范圍為:1KHZ至10MHZ。輸入所需頻率后,按住“+”“-”鍵即可實(shí)現(xiàn)頻率的步進(jìn),步進(jìn)頻率為1005HZ,每按按一次“-”鍵,頻率降低:1005HZ。按一次“+”鍵,頻率升高:1005HZ。(2)輸出所需頻率F后,觀測(cè)到頻率跳變小于發(fā)F10E-4,所以輸出頻率穩(wěn)定度優(yōu)于110e-4.(3)在輸出端接上50歐的電阻 ,用示波器探頭接到輸出端,觀察到示波器輸出峰峰值如下表4.1所示 表4.1 輸出峰值的數(shù)

18、據(jù)輸入頻率(HZ)1K-50K50K-1M1M-5M5M=10M輸出幅值(V)2.453.262.852.80由此可見(jiàn),輸出幅度符合基本要求。 (4)用示波器探頭接在輸出端,改變輸入頻率從1KHZ到10MHZ變化,輸出波形沒(méi)有失真現(xiàn)象。 經(jīng)過(guò)測(cè)試,基本部分的指標(biāo)都能達(dá)到。發(fā)揮部分由于要求輸出頻率帶寬很大,1KHZ到800KHZ 能夠滿足6v1v,800KHZ到10MHZ電壓幅度放大不夠,只能達(dá)到2.50.5V,后來(lái)我們想設(shè)計(jì)一個(gè)高通濾波器,由于時(shí)間倉(cāng)促,這部分沒(méi)辦法實(shí)現(xiàn),所以1MHZ到10MHZ這個(gè)頻段沒(méi)有達(dá)到發(fā)揮部分的要求,在1M到10MHZ范圍內(nèi)調(diào)制度Ma 可在10%到100%內(nèi)程控調(diào)節(jié),

19、步進(jìn)量為10%,在100KHZ到10MHZ頻率范圍內(nèi)產(chǎn)生的最大頻偏位10KHZ,PSK和ASK信號(hào)都很好,沒(méi)有明顯的失真。(5) 用數(shù)字合成頻率合成器產(chǎn)生速率為10Kbps的二進(jìn)制基帶信號(hào),從鍵盤(pán)輸入100KHZ的頻率,然后用二進(jìn)制基帶信號(hào)調(diào)制載波信號(hào),得到ASK信號(hào)和PSK信號(hào)。7. 總結(jié) 本系統(tǒng)采用比較先進(jìn)的數(shù)字頻率合成技術(shù)產(chǎn)生正弦波,性能指標(biāo)都達(dá)到或超過(guò)了基本要求,系統(tǒng)較可靠,穩(wěn)定。當(dāng)然,也存在一些問(wèn)題,比如,加上負(fù)載時(shí),信號(hào)輸出幅度不夠等。通過(guò)這次課程設(shè)計(jì),我學(xué)到了很多在課堂上學(xué)不到的東西,首先,能自己設(shè)計(jì)電路,真的是一件讓人興奮不已的事情,學(xué)了一學(xué)期的模電理論知識(shí),在學(xué)期末親手實(shí)踐的

20、電路讓我感受頗深,讓我對(duì)抽象的只是有了具體的了解,也加強(qiáng)了我對(duì)理論知識(shí)的理解,提高了我對(duì)模電的興趣,提高了我的動(dòng)手能力。在此次對(duì)正弦信號(hào)發(fā)生器的設(shè)計(jì)中,并非一帆風(fēng)順,卻是荊棘坎坷,對(duì)電路結(jié)構(gòu)的設(shè)計(jì),對(duì)電子元件的選擇,以及電路的搭建與調(diào)試,再到后來(lái)調(diào)試不成功后的修改,每一次都是認(rèn)真的去查閱資料,在multisim上面仿真,一次次去調(diào)試才完成的。最后,當(dāng)電路輸出穩(wěn)定的波形的時(shí)候,那時(shí)的心情又是無(wú)比的激動(dòng),是的,有什么能夠比付出之后的回報(bào)更值得讓人欣慰的呢?在這次設(shè)計(jì)中,讓我感受最深的還是做學(xué)問(wèn)需要嚴(yán)謹(jǐn)?shù)膽B(tài)度和堅(jiān)持不懈的精神。一次次的失敗,一次次的挫折都不打敗勇敢者的心,只有勇于去嘗試了,并堅(jiān)持不懈,最后才能成功。做學(xué)問(wèn)需要嚴(yán)謹(jǐn),不得有絲毫的馬虎,在電路設(shè)計(jì)仿真的過(guò)程中,電路不能夠輸出波形的原因居然是自己的線都沒(méi)有連接好,讓我深刻的感受到做學(xué)問(wèn)需要嚴(yán)謹(jǐn)?shù)膽B(tài)度,卟能馬馬虎虎。在實(shí)驗(yàn)中遇到的挫折確實(shí)很多,然而克服了它們,你就是最后的勝者??傊谶@次電路的設(shè)計(jì)中,我學(xué)到了很多課本上學(xué)不到的東西,它會(huì)在我以后的人生中有著重大的影響。8. 參考文獻(xiàn) 1、梁恩主 .Protel 99SE電路設(shè)計(jì)與仿真應(yīng)用. 北京:清華大學(xué)出版社,2000 2、姚福安

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論