循環(huán)彩燈控制器設(shè)計(jì)畢業(yè)論文_第1頁(yè)
循環(huán)彩燈控制器設(shè)計(jì)畢業(yè)論文_第2頁(yè)
循環(huán)彩燈控制器設(shè)計(jì)畢業(yè)論文_第3頁(yè)
循環(huán)彩燈控制器設(shè)計(jì)畢業(yè)論文_第4頁(yè)
循環(huán)彩燈控制器設(shè)計(jì)畢業(yè)論文_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、課程設(shè)計(jì)(論文)題 目 名 稱 循環(huán)彩燈控制器的設(shè)計(jì) 課 程 名 稱 電子技術(shù)課程設(shè)計(jì) 學(xué) 生 姓 名 學(xué) 號(hào) 系 、專 業(yè) 電氣工程系、電力系統(tǒng)及其自動(dòng)化專業(yè) 指 導(dǎo) 教 師 2010年 12 月 16 日年級(jí)專業(yè)09級(jí)電力學(xué)生姓名 學(xué) 號(hào)題目名稱循環(huán)彩燈控制器的設(shè)計(jì)設(shè)計(jì)時(shí)間2011.12.5-2011.12.16課程名稱 電子技術(shù)課程設(shè)計(jì)課程編號(hào)設(shè)計(jì)地點(diǎn)電氣樓、電子實(shí)驗(yàn)分室408、409室一、課程設(shè)計(jì)(論文)目的通過課程設(shè)計(jì),使學(xué)生加鞏固和加深對(duì)電子電路基本知識(shí)的理解,學(xué)會(huì)查尋資料、方案設(shè)計(jì)、方案比較,以及單元電路設(shè)計(jì)計(jì)算等環(huán)節(jié),進(jìn)一步提高學(xué)生綜合運(yùn)用所學(xué)知識(shí)的能力,提高分析解決實(shí)際問題的

2、能力。鍛煉分析、解決電子電路問題的實(shí)際本領(lǐng),通過此綜合訓(xùn)練,為以后畢業(yè)設(shè)計(jì)打下一定的基礎(chǔ)。二、已知技術(shù)參數(shù)和條件系統(tǒng)電路的構(gòu)成只能用分立元件、或中規(guī)模集成芯片。用中小規(guī)模集成芯片設(shè)計(jì)一循環(huán)彩燈控制器。要求:(1)共有紅、綠、黃3色彩燈各9個(gè),要求安一定順序和時(shí)間關(guān)系運(yùn)行。(2)動(dòng)作要求:先紅燈,后綠燈,再黃燈,分別按0.5S的速度跑動(dòng)一次,然后,全部紅燈亮5S,再黃燈,后綠燈,各一次。以此循環(huán)。(3)對(duì)各組燈的控制,要求有驅(qū)動(dòng)電路。(4)對(duì)跑動(dòng)電路,可以每3個(gè)一組,交叉安裝,分別點(diǎn)亮每一組,利用視覺暫停,達(dá)到跑動(dòng)的效果。(5)系統(tǒng)要求仿真實(shí)驗(yàn)三、 任務(wù)和要求1. 按學(xué)校規(guī)定的格式編寫設(shè)計(jì)論文。

3、2. 論文主要內(nèi)容有:課題名稱。設(shè)計(jì)任務(wù)和要求。方案選擇與論證。方案的原理框圖,總體電路圖、布線圖,以及它們的說明;單元電路設(shè)計(jì)與計(jì)算說明;元器件選擇和電路參數(shù)計(jì)算的說明等。用protuse或其它仿真軟件對(duì)設(shè)計(jì)電路仿真調(diào)試。對(duì)調(diào)試中出現(xiàn)的問題進(jìn)行分析,并說明解決的措施;測(cè)試、記錄、整理與結(jié)果分析。收獲體會(huì)、存在問題和進(jìn)一步的改進(jìn)意見等。注:1此表由指導(dǎo)教師填寫,經(jīng)系、教研室審批,指導(dǎo)教師、學(xué)生簽字后生效;2此表1式3份,學(xué)生、指導(dǎo)教師、教研室各1份。四、參考資料和現(xiàn)有基礎(chǔ)條件(包括實(shí)驗(yàn)室、主要儀器設(shè)備等)主編張克農(nóng) .數(shù)字電子技術(shù). 高教出版社出版.第一版.2006年彭介華 主編.電子技術(shù)課程

4、設(shè)計(jì)指導(dǎo).高教出版社出版.第一版.2002年曾建唐 主編.電工電子基礎(chǔ)實(shí)踐教程.機(jī)械工業(yè)出版社.2002年康光華 主編.電子技術(shù)基礎(chǔ).高教出版社出版.第四版.1999年電子電工實(shí)驗(yàn)室可以提供的主要儀器設(shè)備:示波器型號(hào)規(guī)格VP-5220、電子學(xué)習(xí)機(jī)型號(hào)規(guī)格WL-V、萬用表MF10;以及分立元件、或中規(guī)模集成芯片。五、進(jìn)度安排2011年11月28日-29日:收集和課程設(shè)計(jì)有關(guān)的資料,熟悉課題任務(wù)和要求;2011年11月30日-12月1日:總體方案設(shè)計(jì),方案比較,選定方案的論證及整機(jī)電路的工作原理;2011年12月2日-8日:?jiǎn)卧娐吩O(shè)計(jì),參數(shù)計(jì)算,元器件選擇,電路圖;2011年12月9日-10日;

5、整理書寫設(shè)計(jì)說明書2011年12月12日:答辯六、教研室審批意見教研室主任(簽字): 年 月 日七|、主管教學(xué)主任意見 主管主任(簽字): 年 月 日八、備注指導(dǎo)教師(簽字): 學(xué)生(簽字):課程設(shè)計(jì)(論文)評(píng)閱表學(xué)生姓名 學(xué) 號(hào) 系 電氣工程系 專業(yè)班級(jí) 09電2 題目名稱 循環(huán)彩燈控制器的設(shè)計(jì) 課程名稱 電子技術(shù)課程設(shè)計(jì) 一、學(xué)生自我總結(jié) 通過這次課程設(shè)計(jì),使我受益頗多。既鞏固了課堂上學(xué)到的理論知識(shí),又掌握了常用集成電路芯片的使用。在此基礎(chǔ)上學(xué)習(xí)了數(shù)字系統(tǒng)設(shè)計(jì)的基本思想和方法,學(xué)會(huì)了科學(xué)地分析實(shí)際問題,通過查資料、分析資料及請(qǐng)教老師和同學(xué)等多種途徑,獨(dú)立解決問題。同時(shí),也培養(yǎng)了我認(rèn)真嚴(yán)謹(jǐn)?shù)?/p>

6、工作作風(fēng)。 學(xué)生簽名: 2010年 1月 5 日二、指導(dǎo)教師評(píng)定評(píng)分項(xiàng)目平時(shí)成績(jī)論文答辯綜合成績(jī)權(quán) 重304030單項(xiàng)成績(jī)指導(dǎo)教師評(píng)語(yǔ): 指導(dǎo)教師(簽名): 年 月 日注:1、本表是學(xué)生課程設(shè)計(jì)(論文)成績(jī)?cè)u(píng)定的依據(jù),裝訂在設(shè)計(jì)說明書(或論文)的“任務(wù)書”頁(yè)后面;2、表中的“評(píng)分項(xiàng)目”及“權(quán)重”根據(jù)各系的考核細(xì)則和評(píng)分標(biāo)準(zhǔn)確定。目 錄摘 要I1.緒論11.1實(shí)驗(yàn)?zāi)康?1.2設(shè)計(jì)題目與思路12.總體方案的設(shè)計(jì)22.1 時(shí)鐘脈沖22.2 定時(shí)控制23.系統(tǒng)硬件設(shè)計(jì)33.1 硬件的選擇及相關(guān)參數(shù)的確定33.2單元電路模塊設(shè)計(jì)53.3 彩燈顯示電路模塊63.4總體電路圖74.故障分析8總 結(jié)9參考文獻(xiàn)

7、10附 錄11致 謝12摘 要當(dāng)今社會(huì),經(jīng)濟(jì)發(fā)展迅速,人們對(duì)周圍環(huán)境的要求也越來越高。人們渴望在一個(gè)多姿的環(huán)境中生活,那樣也不會(huì)太單調(diào)寂寞。霓虹燈以它炫彩的英姿征服了人們的眼球,為人們的生活添姿加彩。隨著人們生活環(huán)境的不斷改善和美化,在許多場(chǎng)合可以看到彩色流水燈,以往死寂般的夜空也開始變得鮮活。霓虹燈發(fā)展到現(xiàn)在已經(jīng)慢慢的融入到了我們生活的點(diǎn)點(diǎn)滴滴,在我們習(xí)以為常的環(huán)境中,變換著它們的色彩,絢爛著人們的生活。本次實(shí)驗(yàn)設(shè)計(jì)中我們?cè)谠械幕A(chǔ)上改進(jìn),設(shè)計(jì)這款彩燈循環(huán)發(fā)光控制器,通過對(duì)NE555集成時(shí)基電路和計(jì)數(shù)器譯碼分配器CD4017等集成塊的合理組建,實(shí)現(xiàn)了對(duì)彩燈的循環(huán)發(fā)光控制功能。關(guān)鍵詞:計(jì)數(shù)器

8、;數(shù)據(jù)選擇器;移位寄存器;彩燈顯示電路1. 緒論1.1實(shí)驗(yàn)?zāi)康耐ㄟ^課程設(shè)計(jì),使學(xué)生加鞏固和加深對(duì)電子電路基本知識(shí)的理解,學(xué)會(huì)查找資料、方案設(shè)計(jì)、方案論證比較,以及單元電路設(shè)計(jì)計(jì)算等環(huán)節(jié),進(jìn)一步提高學(xué)生綜合運(yùn)用所學(xué)知識(shí)的能力,提高分析解決實(shí)際問題的能力。鍛煉分析、解決電子電路問題的實(shí)際本領(lǐng),通過此綜合訓(xùn)練,為以后畢業(yè)設(shè)計(jì)打下一定的基礎(chǔ)。1.2設(shè)計(jì)題目與思路本次數(shù)字電路課程設(shè)計(jì)我選擇的題目是“多路彩燈控制器”。這個(gè)系統(tǒng)的主要功能是:(1)共有紅、綠、黃3色彩燈各9個(gè),要求安一定順序和時(shí)間關(guān)系運(yùn)行。(2)動(dòng)作要求:先紅燈,后綠燈,再黃燈,分別按0.5S的速度跑動(dòng)一次,然后,全部紅燈亮5S,再黃燈,后

9、綠燈,各一次。以此循環(huán)。(3)對(duì)各組燈的控制,要求有驅(qū)動(dòng)電路。(4)對(duì)跑動(dòng)電路,可以每3個(gè)一組,交叉安裝,分別點(diǎn)亮每一組,利用視覺暫停,達(dá)到跑動(dòng)的效果。本設(shè)計(jì)是設(shè)計(jì)一個(gè)循環(huán)彩燈控制器,我們可以從當(dāng)今的社會(huì)明顯的看出,它具有相當(dāng)大的實(shí)用價(jià)值,因?yàn)楝F(xiàn)在的很多廣告霓虹燈就是由很多的各式各樣的彩燈組成的,具有很強(qiáng)的視覺效果。如果能掌握彩燈的控制技術(shù),這將是對(duì)我們已后的發(fā)展都有很大的幫助的。 同時(shí),作為設(shè)計(jì)的一門課程,電子技術(shù)課程設(shè)計(jì)是電氣工程及自動(dòng)化專業(yè)的一個(gè)重要的實(shí)踐性教學(xué)環(huán)節(jié),是對(duì)已學(xué)模擬電子技術(shù)、數(shù)字電子技術(shù)知識(shí)的綜合性訓(xùn)練,是對(duì)所學(xué)知識(shí)的一種綜合應(yīng)用。這種訓(xùn)練是通過學(xué)生獨(dú)立進(jìn)行某一課題的設(shè)計(jì)、

10、安裝和調(diào)試來完成,著重培養(yǎng)學(xué)生工程實(shí)踐的動(dòng)手能力、創(chuàng)新能力和進(jìn)行綜合設(shè)計(jì)的能力,并要求能設(shè)計(jì)出完整的電路或產(chǎn)品,從而為以后從事電子電路設(shè)計(jì)、研制電子產(chǎn)品奠定堅(jiān)實(shí)的基礎(chǔ)。2.總體方案的設(shè)計(jì)2.1 時(shí)鐘脈沖我們可以直接通過某一在時(shí)鐘脈沖下,能夠產(chǎn)生任務(wù)書中設(shè)計(jì)要求的脈沖即如下:0000 0000 0000 0001 0000 0010 0000 0011 0000 0100 0000 0101 0000 0110 0000 0111 0000 1000 0000 1001 0000 1010 0000 1011 0000 1100 0000 1101 0000 1110 0000 1111 000

11、1 0000依次控制73138芯片的的輸入,同時(shí)每一個(gè)狀態(tài)都有一個(gè)輸出,其對(duì)應(yīng)的有三種狀態(tài),即:0000 0000 是開始的三個(gè)紅燈亮,0000 0001 就是三個(gè)綠燈亮,0000 0010是三個(gè)黃燈亮,以后的時(shí)鐘依次循環(huán)控制這三種顏色的燈。27盞燈第一次亮完之后,就用另外的一個(gè)74138控制紅、黃、綠燈全亮的時(shí)鐘脈沖,即:0000 0001 0010 0011 -0100,0000控制全是紅燈亮,0001全是綠燈亮,0011全是黃燈亮。2.2 定時(shí)控制本設(shè)計(jì)用了兩個(gè)555定時(shí)器,第一個(gè)產(chǎn)生一個(gè)周期為0.5秒的脈沖,控制在每組彩燈循環(huán)亮的時(shí)候的時(shí)間間隔,第二個(gè)用了一個(gè)周期為5秒的脈沖,控制三色

12、彩燈依次循環(huán)亮完之后的全是紅燈亮或綠燈亮或黃燈亮的時(shí)間間隔。2.3 設(shè)計(jì)框圖如下圖2-1所示:圖2-1 設(shè)計(jì)框圖3.系統(tǒng)硬件設(shè)計(jì)3.1 硬件的選擇及相關(guān)參數(shù)的確定在這里,我對(duì)主要的芯片做一下選擇,對(duì)其功能表進(jìn)行簡(jiǎn)要的介紹,其它一些元器件的選擇及簡(jiǎn)要介紹見附錄A。3.1.1 74138芯片74138是最常用的集成譯碼器之一,它有3個(gè)譯碼輸入A2、A1和A0,8個(gè)輸出端Y0Y7,因此又稱為3-8線譯碼器。它有3個(gè)控制輸入端STB、STC和STA,當(dāng)STB=STC=0,STA=1時(shí),譯碼器處于工作狀態(tài),否則譯碼器被禁止(即譯碼器不工作)。其功能表如下表3-1如示。我選它主要是要用它完成花樣一的工作,

13、因?yàn)樗梢栽诳刂贫硕际鼓軙r(shí)根據(jù)A端的輸入來選擇某一個(gè)輸出,這樣就可以達(dá)到亮一個(gè)燈的目的,當(dāng)我把控制端某一個(gè)不使能時(shí),就不能輸出,這樣又可以達(dá)到滅一個(gè)燈的目的,所以當(dāng)控制端使能與不使能交替變化時(shí)就可以達(dá)到一亮一滅的花樣,再加上A端的變化,則可以實(shí)現(xiàn)依次亮滅的要求。表3-1 74138功能表輸 入輸 出S1 S2 S3A2 A1 A0Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y70 1 11 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1

14、1 1 11 1 1 1 1 1 1 10 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 03.1.2 74161芯片74161是同步二進(jìn)制可預(yù)置數(shù)集成計(jì)數(shù)器,功能表如下表3-2所示。74161計(jì)數(shù)翻轉(zhuǎn)是在時(shí)鐘信號(hào)上的升沿完成的,是異步清0信號(hào),CTP、CTT是使能控制信號(hào),是置數(shù)信號(hào),D0、D1、D2、D3是4個(gè)數(shù)據(jù)輸入信號(hào),C0是進(jìn)位輸信號(hào)C0=Q3Q2Q1Q0CTT,當(dāng)Q3Q0及CTT均為1時(shí),C0=1

15、,產(chǎn)生正進(jìn)位脈沖。74161有清除、送數(shù)、保持及計(jì)數(shù)功能。我選它主要是用來產(chǎn)生供74183譯碼器的輸入端的A信號(hào)。表3-2 74161功能表PCCTPCTT工作狀態(tài)0清010預(yù)置數(shù)110保持110保持1111計(jì)數(shù)3.1.3 555芯片555定時(shí)呂是一種中規(guī)模集成電路,目前在儀器、儀表和自動(dòng)化控制裝置中應(yīng)用很廣。它可以組成定時(shí)、延時(shí)和脈沖調(diào)制等各種電路。555 定時(shí)器成本低,性能可靠,只需要外接幾個(gè)電阻、電容,就可以實(shí)蕩器、單穩(wěn)態(tài)觸發(fā)器及施密特觸發(fā)器等脈沖產(chǎn)生與變換電路。它也常作為定時(shí)器泛應(yīng)用于儀器儀表、家用電器、電子測(cè)量及自動(dòng)控制等方面。其功能表如表3-3所示,其內(nèi)部結(jié)構(gòu)如圖3-4所示,外引腳

16、排列如圖3-5所示。在本設(shè)計(jì)中,我用到的是由555構(gòu)成的多諧振蕩器,用以發(fā)生一個(gè)2Hz和0.2HZ的脈沖。表3-3 555定時(shí)器功能表輸入輸出閥值輸入(THR)觸發(fā)輸入(TRI)復(fù)位(RES)輸出(OUT)放電端(DIS)XX00導(dǎo)通VccVcc10導(dǎo)通VccVcc1不變保持原狀態(tài) VccVcc11截止555定時(shí)器它內(nèi)部包括兩個(gè)電壓比較器,三個(gè)等值串聯(lián)電阻,一個(gè) RS 觸發(fā)器,一個(gè)管 T 及功率輸出級(jí)。它提供兩個(gè)基準(zhǔn)電壓VCC /3 和 2VCC /3。圖3-1 555定時(shí)器內(nèi)部結(jié)構(gòu)圖圖3-2 555定時(shí)器引腳圖3.2單元電路模塊設(shè)計(jì)1. 脈沖發(fā)生電路模塊1如圖3-6所示。圖3-3 脈沖發(fā)生電

17、路1上圖中的核心芯片就是中間的555芯片,它上方的一個(gè)5V直流電源來供電,兩個(gè)定值電阻的阻值分別為R1 20K和R230K,和電阻。圖中的電容為一個(gè)10u的電容,是一個(gè)一個(gè)隔離電容,把CV端與外界隔開。高低脈沖時(shí)間的計(jì)算公式為T2=0.693R2C和T1=0.693(R1+R2)C,占空比是大于50%。最終,由Q點(diǎn)來輸出頻率為2Hz的脈沖。2. 脈沖發(fā)生電路模塊2如圖3-7所示。它上方的一個(gè)5V直流電源來供電,兩個(gè)定值電阻的阻值分別為R1 20K和R230K,和電阻。圖中的電容為一個(gè)100u的電容,也是一個(gè)一個(gè)隔離電容,把CV端與外界隔開。由Q點(diǎn)來輸出頻率為0.2Hz的脈沖。圖3-4 脈沖發(fā)生

18、電路23.3 彩燈顯示電路模塊彩燈顯示電路模塊如圖3-8所示:圖3-5 彩燈顯示電路此顯示電路比較簡(jiǎn)單。從圖中可以看出,此彩燈的接法為共陽(yáng)極接法,當(dāng)彩燈另一端為一個(gè)低電平時(shí),彩燈被點(diǎn)亮;當(dāng)彩燈另一端為一個(gè)高電平時(shí),彩燈被熄滅。所以只要給彩燈的另一端接相應(yīng)的電平時(shí),就可以實(shí)現(xiàn)彩燈的點(diǎn)亮和熄滅控制,進(jìn)一步就可實(shí)現(xiàn)花樣的實(shí)現(xiàn)。每三個(gè)一組,第一組是三個(gè)紅燈,第二組是三個(gè)綠燈,第三組是三個(gè)黃燈,以后的燈依次循環(huán)。3.4總體電路圖總電路設(shè)計(jì)圖如下圖3-9所示:圖3-6 總電路設(shè)計(jì)圖4. 故障分析在電路組裝過程中,遇到的最大問題是,當(dāng)時(shí)設(shè)計(jì)時(shí)考慮不周全,芯片分布不夠合理,出現(xiàn)了許多“特長(zhǎng)線”。不但影響布線速

19、度,而且也會(huì)給后來的調(diào)試帶來不必要的麻煩。當(dāng)時(shí)已經(jīng)布線不少,不可能重新開始,再三權(quán)衡,最后只移動(dòng)了一個(gè)芯片,問題就得到了很大改善。其次就是布線,因?yàn)橐蟛粶?zhǔn)交叉,且橫平豎直,所以在保證連通的情況下,在布線上也下了不少工夫。調(diào)試過程中,第一輪用萬用表歐姆檔測(cè)試,就遇了實(shí)驗(yàn)板上有插孔不通的情況,導(dǎo)致芯片不能正常工作。相對(duì)于別的辦法,我選擇了導(dǎo)線顯式連通,因?yàn)槠涓魑?,更易?shí)現(xiàn)。對(duì)于高阻導(dǎo)線則只能換掉。第二輪接電后,用萬用表的電壓檔測(cè)試單元電路的狀態(tài)。在整個(gè)調(diào)試完成后,卻遇到的新問題:彩燈演示時(shí)有時(shí)正常有時(shí)混亂。在排除其它可能的情況下,我仔細(xì)檢查各端子的連接情況,發(fā)現(xiàn)清“0”端在清“0”后懸空了。將

20、其插到電源正極后,發(fā)現(xiàn)問題解決了。總 結(jié)終于完成了數(shù)電課程設(shè)計(jì),我感到非常開心和滿足,畢竟自己動(dòng)手,能把書本上的知識(shí)學(xué)以致用,感覺很有成就感。課程設(shè)計(jì)剛開始,拿著選定的題目不知如何入手。但是課程設(shè)計(jì)不同于實(shí)驗(yàn)課,電路圖都要自己設(shè)計(jì)。靜心想來,仔細(xì)分析題目,再加上指導(dǎo)老師的說明與提示,心中才有了些許底氣,即可將整個(gè)系統(tǒng)根據(jù)不同的功能化分成模塊,再分別對(duì)各模塊進(jìn)行設(shè)計(jì),逐個(gè)攻破,最后再將其整合即可。在設(shè)計(jì)過程中,用過的和沒用過的,需要自己查表,分析其功能,活學(xué)活用。最后調(diào)試階段,哪怕一個(gè)小小的錯(cuò)誤也會(huì)使結(jié)果出不來。須得逐條細(xì)查,逐個(gè)檢測(cè)。最后結(jié)果終于出來了,又發(fā)現(xiàn)有的地方還應(yīng)改進(jìn)。如快慢節(jié)拍不是很

21、明顯,花型比較簡(jiǎn)單,且555產(chǎn)生的時(shí)鐘信號(hào)頻率太小等等。通過這次課程設(shè)計(jì),使我受益頗多。既鞏固了課堂上學(xué)到的理論知識(shí),又掌握了常用集成電路芯片的使用。在此基礎(chǔ)上學(xué)習(xí)了數(shù)字系統(tǒng)設(shè)計(jì)的基本思想和方法,學(xué)會(huì)了科學(xué)地分析實(shí)際問題,通過查資料、分析資料及請(qǐng)教老師和同學(xué)等多種途徑,獨(dú)立解決問題。同時(shí),也培養(yǎng)了我認(rèn)真嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)。參考文獻(xiàn)1主編張克農(nóng).數(shù)字電子技術(shù). 高教出版社出版.第一版.2006.2彭介華主編.電子技術(shù)課程設(shè)計(jì)指導(dǎo).高教出版社出版.第一版.2002年.3曾建唐主編.電工電子基礎(chǔ)實(shí)踐教程.機(jī)械工業(yè)出版社.2002.4康光華主編.電子技術(shù)基礎(chǔ).高教出版社出版.第四版.1999.附 錄類型數(shù)

22、量參數(shù)74LS138374161274042BATTERY25VCLASSE1-10N410u,100uLED-GREEN9LED-RED9LED-YELLOW9METALFIM420K,30K致 謝在設(shè)計(jì)過程中,我通過查閱大量有關(guān)資料,與同學(xué)交流經(jīng)驗(yàn)和自學(xué),并向老師請(qǐng)教等方式,使自己學(xué)到了不少知識(shí),也經(jīng)歷了不少艱辛,但收獲同樣巨大。在整個(gè)設(shè)計(jì)中我懂得了許多東西,也培養(yǎng)了我獨(dú)立工作的能力,樹立了對(duì)自己工作能力的信心,相信會(huì)對(duì)今后的學(xué)習(xí)工作生活有非常重要的影響。而且大大提高了動(dòng)手的能力,使我充分體會(huì)到了在創(chuàng)造過程中探索的艱難和成功時(shí)的喜悅。雖然這個(gè)設(shè)計(jì)做的也不太好,但是在設(shè)計(jì)過程中所學(xué)到的東西是

23、這次畢業(yè)設(shè)計(jì)的最大收獲和財(cái)富,使我終身受益。tgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAW

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論