基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)_第1頁(yè)
基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)_第2頁(yè)
基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)_第3頁(yè)
基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)_第4頁(yè)
基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩15頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、EDA課程設(shè)計(jì)報(bào)告書(shū)課題名稱(chēng) 基于EDA的籃球賽計(jì)時(shí)計(jì)分系統(tǒng)姓 名 學(xué) 號(hào) 院 系 專(zhuān) 業(yè) 指導(dǎo)教師 一、 設(shè)計(jì)任務(wù)及要求:本課題設(shè)計(jì)一種簡(jiǎn)單的基于EDA控制的籃球賽計(jì)時(shí)計(jì)分系統(tǒng)的方法,設(shè)計(jì)主要解決籃球賽中不同情況下的計(jì)時(shí)計(jì)分工作等。 設(shè)計(jì)要求如下: 1、設(shè)計(jì)一個(gè)對(duì)籃球賽進(jìn)行簡(jiǎn)單計(jì)時(shí)計(jì)分的系統(tǒng)。 2、計(jì)時(shí)細(xì)分為每節(jié)12分鐘和4分鐘加時(shí)。 3、對(duì)兩隊(duì)分開(kāi)計(jì)分,區(qū)分賽事中1、2、3分的不同得分。 4、上下半場(chǎng)終場(chǎng)提示。指導(dǎo)教師簽名: 年 月 日 二、指導(dǎo)教師評(píng)語(yǔ):指導(dǎo)教師簽名: 年 月 日 三、成績(jī)驗(yàn)收蓋章 年 月 日 基于EDA的籃球賽計(jì)時(shí)計(jì)分系統(tǒng) 1 設(shè)計(jì)目的 本課題設(shè)計(jì)一種簡(jiǎn)單的基于EDA控

2、制的籃球賽計(jì)時(shí)計(jì)分系統(tǒng)的方法, 設(shè)計(jì)主要解決籃球賽中不同情況下的計(jì)時(shí)計(jì)分等。通過(guò)設(shè)計(jì)不同的計(jì)時(shí)模塊和計(jì)分模塊,能很好的綜合運(yùn)用我們所學(xué)到的EDA,數(shù)字電路知識(shí),熟悉VHDL語(yǔ)言的基本應(yīng)用。2 設(shè)計(jì)的主要內(nèi)容和要求 1、設(shè)計(jì)一個(gè)對(duì)籃球賽進(jìn)行簡(jiǎn)單計(jì)時(shí)計(jì)分的系統(tǒng) 2、計(jì)時(shí)細(xì)分為每節(jié)12分鐘和4分鐘加時(shí) 3、對(duì)兩隊(duì)分開(kāi)計(jì)分,區(qū)分賽事中1、2、3分的不同分制。 4、上下半場(chǎng)提示3 整體設(shè)計(jì)方案由設(shè)計(jì)內(nèi)容可知,主電路包括的模塊有分頻模塊、計(jì)時(shí)模塊、記分模塊、脈沖產(chǎn)生模塊、濾波模塊、選擇模塊、聲光顯示模塊,顯示模塊及消抖模塊等。分頻模塊包括1s和5ms,1s用來(lái)秒鐘計(jì)時(shí),5ms用來(lái)作為時(shí)鐘脈沖。計(jì)時(shí)模塊包括

3、每節(jié)比賽的12分鐘,以及加時(shí)的4分鐘。這兩個(gè)模塊可以利用12進(jìn)制,60進(jìn)制,以及5進(jìn)制的減法計(jì)數(shù)器來(lái)表示。計(jì)分模塊可以使用計(jì)數(shù)器表示雙方的比分,加分可采用脈沖產(chǎn)生器來(lái)實(shí)現(xiàn)。其中,使用一個(gè)數(shù)據(jù)選擇模塊來(lái)選擇得分的一方。并且用一個(gè)99進(jìn)制的加法計(jì)數(shù)器來(lái)表示雙方得分。脈沖產(chǎn)生過(guò)程中考慮到會(huì)有毛刺出現(xiàn),影響脈沖正確產(chǎn)生進(jìn)而影響加分模塊,加入一個(gè)濾波模塊用以消除毛刺。顯示電路5進(jìn)制計(jì)時(shí)60進(jìn)制計(jì)時(shí)24進(jìn)制計(jì)時(shí)99進(jìn)制計(jì)分99進(jìn)制計(jì)分?jǐn)?shù)據(jù)選擇器賽節(jié)顯示電路時(shí)鐘脈沖圖3.1 設(shè)計(jì)方案圖4 硬件電路的設(shè)計(jì)4.1 12進(jìn)制減法計(jì)數(shù)器這個(gè)計(jì)數(shù)器用來(lái)表示每一節(jié)比賽的分鐘位,它的時(shí)鐘脈沖應(yīng)由前一個(gè)60進(jìn)制計(jì)數(shù)器的CO

4、提供。具備復(fù)位功能??梢詫?2進(jìn)制改為任意進(jìn)制的減法計(jì)數(shù)器。當(dāng)計(jì)數(shù)結(jié)束后,計(jì)數(shù)器不再計(jì)數(shù)。當(dāng)CO有效時(shí)進(jìn)行減法計(jì)數(shù),當(dāng)計(jì)數(shù)器減到0之,SO輸出一個(gè)高電平。EN等于1時(shí)計(jì)數(shù)器復(fù)位,當(dāng)C05等于1時(shí)實(shí)現(xiàn)比賽加時(shí)操作。生成元件圖:圖4.1 12進(jìn)制減法計(jì)數(shù)器元件圖4.2 60進(jìn)制減法計(jì)數(shù)器這個(gè)計(jì)數(shù)器用來(lái)表示每一節(jié)比賽的秒鐘位。要求在完成12個(gè)60進(jìn)制的計(jì)數(shù)后,計(jì)數(shù)器不再計(jì)數(shù)。具備清零、暫停以及復(fù)位的功能。當(dāng)CLK有效時(shí)進(jìn)行減法計(jì)數(shù),CLR等于1時(shí)整個(gè)計(jì)數(shù)器清零,EN等于1時(shí)計(jì)數(shù)器復(fù)位,PAUSE等于1時(shí)計(jì)數(shù)器暫停計(jì)數(shù),。當(dāng)計(jì)數(shù)器減到0之,CO輸出一個(gè)高電平。生成元件圖:圖4.2 60進(jìn)制減法計(jì)數(shù)器元

5、件圖4.3 99進(jìn)制可逆計(jì)數(shù)器這個(gè)計(jì)數(shù)器用來(lái)表示比賽球隊(duì)分別的得分。當(dāng)S出現(xiàn)上升沿時(shí)開(kāi)始記分,CLR等于1時(shí)整個(gè)計(jì)數(shù)器清零。生成元件圖:圖4.3 99進(jìn)制可逆計(jì)數(shù)器元件圖4.4 1對(duì)2數(shù)據(jù)選擇器由于比賽兩方的比分不盡相同,所以用一個(gè)數(shù)據(jù)選擇器來(lái)正確的給某一方加分。當(dāng)按下P4時(shí),給A隊(duì)加分,A的輸出為CO;當(dāng)再次按下P4時(shí),給B隊(duì)加分,B的輸出為CO。生成元件圖:圖4.4 1對(duì)2數(shù)據(jù)選擇器元件圖4.5 脈沖產(chǎn)生器由于比賽的得分分別為1分,2分,3分。所以對(duì)應(yīng)的,當(dāng)?shù)梅譃?時(shí),應(yīng)當(dāng)產(chǎn)生1個(gè)脈沖,當(dāng)?shù)梅譃?時(shí),產(chǎn)生2個(gè)脈沖,以此類(lèi)推。在輸入信號(hào)CLK存在的情況下,當(dāng)P1為高電平,則CO產(chǎn)生1個(gè)脈沖信

6、號(hào)。P2為高電平,產(chǎn)生2個(gè)脈沖信號(hào)。P3為高電平,產(chǎn)生3個(gè)脈沖信號(hào)。生成元件圖圖4.5 脈沖產(chǎn)生器元件圖4.6 濾波模塊從脈沖產(chǎn)生器仿真的波形圖看出,輸出脈沖會(huì)有毛刺,所以加一個(gè)濾波模塊,用以消除毛刺。如果高電平持續(xù)時(shí)間大于某一數(shù)值,則判定為是一個(gè)脈沖,否則判定為毛刺。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LB ISPORT(CLK_50MHZ:IN STD_LOGIC;OUT2:OUT STD_LOGIC;CO:IN STD_LOGIC);END;ARCHITECTURE

7、 ONE OF LB ISSIGNAL QQ:INTEGER RANGE 25000000 DOWNTO 0;BEGINPROCESS(CLK_50MHZ)BEGINIF CLK_50MHZEVENT AND CLK_50MHZ=1 THENIF CO=1 THENQQ=QQ+1;ELSEQQ125500 THENOUT2=1;ELSEOUT2=0;END IF;END PROCESS;END;生成元件圖:圖4.6 濾波模塊元件圖4.7 聲光顯示模塊上半場(chǎng)計(jì)時(shí)中,上半場(chǎng)指示燈亮,時(shí)間到,輸出3s的聲光提示,下半場(chǎng)指示燈亮,時(shí)間到,輸出5s的聲光提示。 4.7.1上下半場(chǎng)指示生成元件圖:圖4.7

8、 上下半場(chǎng)指示元件圖 4.7.2、上半場(chǎng)聲光提示圖4.8 上半場(chǎng)聲光提示元件圖 4.7.3、下半聲聲光提示圖4.9 下半場(chǎng)聲光提示元件圖4.8 顯示模塊由于試驗(yàn)箱采用動(dòng)態(tài)顯示,所以需要將BCD碼轉(zhuǎn)換成段碼和位碼。D0到D7分別表示8個(gè)LED管。程序可以表示為:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DISP ISPORT(CLK:IN STD_LOGIC;m_ge,m_shi,f_ge,f_shi,a_ge,a_shi,b_ge,b_shi:IN STD_LOGIC_VECT

9、OR(3 DOWNTO 0);sel:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END;ARCHITECTURE ONE OF DISP ISSIGNAL QQ:INTEGER RANGE 25000000 DOWNTO 0;SIGNAL CLK2:STD_LOGIC;SIGNAL Q:STD_LOGIC_VECTOR(3 DOWNTO 0);signal sel1:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGIN PROCESS(CLK)-數(shù)碼管掃描分頻BEGINIF C

10、LKEVENT AND CLK=1 THENIF QQ25000 THENQQ=QQ+1;ELSEQQ=0;CLK2=NOT CLK2;END IF;END IF;END PROCESS;PROCESS(CLK2)-掃描數(shù)碼管選擇BEGINIF CLK2EVENT AND CLK2=1 THENsel1Q=m_ge; selQ=m_shi;selQ=f_ge; selQ=f_shi;selQ=a_ge; selQ=a_shi;selQ=b_ge; selQ=b_shi;selNULL;END CASE;END PROCESS;PROCESS(Q)-數(shù)碼管顯示BEGINCASE Q ISWHEN

11、 0000=LED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SNULL;END CASE;END PROCESS;END;生成元件圖:圖4.10 顯示模塊元件圖4.9 頂層電路 將上述模塊相互連接,即可得到籃球計(jì)分計(jì)時(shí)系統(tǒng)所需的頂層電路。圖4.11 頂層電路圖5 軟件設(shè)計(jì)設(shè)計(jì)過(guò)程中軟件設(shè)計(jì)流程如下結(jié)束計(jì)時(shí)開(kāi)始有進(jìn)球?是否時(shí)間到?記分LED燈、蜂鳴器響指示否圖5.1 主程序流程圖開(kāi)始EN=0?C05=0?十位等于1,個(gè)位等于2,SO=0個(gè)位0?十位0?個(gè)位減1,SO=0否是否CO有上升沿?是十位等于0,個(gè)位等于5,SO=0是否是否是個(gè)位等于9,十

12、位減1SO=1結(jié)束圖5.2 12進(jìn)制減法計(jì)數(shù)器程序流程圖十位等于0,個(gè)位等于0脈沖上升沿?個(gè)位0?是個(gè)位減1,CO=0開(kāi)始否是個(gè)位等于9,十位減1CLR=1 或EN=1?否是PAUSE=0?是是否CO=1,十位0?SO=0?十位等于5,個(gè)位等于9結(jié)束圖5.3 60進(jìn)制減法計(jì)數(shù)器程序流程圖開(kāi)始否CLR=0?是個(gè)位等于0,十位等于0S有上升沿?是否個(gè)位9?是個(gè)位等于0個(gè)位加1否十位9?是十位加1十位等于0結(jié)束圖5.4 99進(jìn)制可逆計(jì)數(shù)器程序流程圖6 系統(tǒng)仿真對(duì)各電路分別創(chuàng)建一個(gè)仿真波形文件,輸入引腳,并對(duì)所有input引腳賦初值,保存仿真波形文件。開(kāi)始仿真,若仿真沒(méi)有出錯(cuò),則可觀察仿真得到的波形圖

13、。6.1 12進(jìn)制減法計(jì)數(shù)器仿真 對(duì)12進(jìn)制減法計(jì)數(shù)器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.1 12進(jìn)制減法計(jì)數(shù)器仿真波形圖6.2 60進(jìn)制減法計(jì)數(shù)器仿真 對(duì)60進(jìn)制減法計(jì)數(shù)器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.2 60進(jìn)制減法計(jì)數(shù)器仿真波形圖6.3 99進(jìn)制可逆計(jì)數(shù)器仿真 對(duì)99進(jìn)制減法計(jì)數(shù)器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.3 99進(jìn)制可逆計(jì)數(shù)器仿真波形圖6.4 1對(duì)2選擇器仿真 對(duì)1對(duì)2選擇器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.4 1對(duì)2選擇器仿真波形圖6.5 脈沖產(chǎn)生器仿真 對(duì)脈沖產(chǎn)生器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。

14、圖6.5 脈沖產(chǎn)生器仿真波形圖6.6 上下半場(chǎng)聲光指示波仿真 對(duì)上下半場(chǎng)聲光指示器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.6 上下半場(chǎng)聲光指示仿真波形圖6.7 上半場(chǎng)聲光提示仿真 對(duì)上半場(chǎng)聲光提示器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.7 上半場(chǎng)聲光提示仿真波形圖6.8 下半場(chǎng)聲光提示仿真 對(duì)下半場(chǎng)聲光提示器引腳賦值,觀察波形圖可知該元件符合設(shè)計(jì)要求。圖6.8 下半場(chǎng)聲光提示仿真波形圖6.9 頂層電路仿真 頂層電路模塊去除聲光顯示模塊后,創(chuàng)建仿真波形文件,對(duì)各引腳賦值。觀察波形圖可知頂層電路連線(xiàn)無(wú)誤,符合設(shè)計(jì)要求。圖6.9 頂層電路仿真波形圖7 使用說(shuō)明 本設(shè)計(jì)中的基于EDA籃球計(jì)時(shí)計(jì)分系統(tǒng)能實(shí)現(xiàn)籃球賽中簡(jiǎn)單規(guī)則下的計(jì)時(shí)計(jì)分任務(wù)。8 設(shè)計(jì)總結(jié) 本次課程設(shè)計(jì)讓我對(duì)EDA及QuartusII軟件有了新的的理解。在設(shè)計(jì)的過(guò)程中,我意識(shí)到了自己在專(zhuān)業(yè)知識(shí)上的匱乏。更加堅(jiān)定我在學(xué)習(xí)道路上的決心。EDA和VHDL語(yǔ)言是電子類(lèi)專(zhuān)業(yè)的重要組成部分,必須多下功夫才能扎實(shí)地掌握。經(jīng)過(guò)這次的課程設(shè)計(jì),讓我理

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論