EDA技術(shù)第4章_第1頁
EDA技術(shù)第4章_第2頁
EDA技術(shù)第4章_第3頁
EDA技術(shù)第4章_第4頁
EDA技術(shù)第4章_第5頁
已閱讀5頁,還剩86頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第第4章章 Quartus軟件軟件Quartus簡介簡介為什么使用為什么使用Quartus II 軟件軟件?推薦用于所有新的推薦用于所有新的CPLD、FPGA和結(jié)構(gòu)化和結(jié)構(gòu)化ASIC設(shè)計(jì)設(shè)計(jì)支持新的支持新的MAX II CPLD以及以及Cyclone、Stratix 和和 Stratix II FPGA 以及以及 HardCopy結(jié)構(gòu)化結(jié)構(gòu)化Asic支持支持 MAX、FLEX 和和 ACEX 設(shè)計(jì)設(shè)計(jì)更快的按鍵式性能表現(xiàn),更適用于引腳鎖定的情況更快的按鍵式性能表現(xiàn),更適用于引腳鎖定的情況出眾的集成化綜合支持出眾的集成化綜合支持為第三方工具提供了無縫接口為第三方工具提供了無縫接口轉(zhuǎn)換轉(zhuǎn)換MAX+

2、PLUS II工程的增強(qiáng)功能工程的增強(qiáng)功能許多設(shè)計(jì)人員使用許多設(shè)計(jì)人員使用Quartus II軟件,并且對其印象深刻軟件,并且對其印象深刻 QUARTUS II簡介簡介QUARTUS II 軟件涵蓋了從軟件涵蓋了從開發(fā)設(shè)計(jì)到器開發(fā)設(shè)計(jì)到器件實(shí)現(xiàn)的全部件實(shí)現(xiàn)的全部功能功能Quartus IIQuartus II軟件的設(shè)計(jì)過程主要包括:軟件的設(shè)計(jì)過程主要包括:n建立項(xiàng)目建立項(xiàng)目n輸入設(shè)計(jì)電路(可采用不同方式)輸入設(shè)計(jì)電路(可采用不同方式)n設(shè)計(jì)編譯設(shè)計(jì)編譯n設(shè)計(jì)仿真設(shè)計(jì)仿真設(shè)計(jì)下載設(shè)計(jì)下載 QuartusQuartus設(shè)計(jì)流程介紹設(shè)計(jì)流程介紹QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程 啟動啟動Q

3、uartus 8.1Quartus 8.1單擊開始按扭,在程序菜單中選擇單擊開始按扭,在程序菜單中選擇Quartus8.1 Quartus8.1 ,可以啟,可以啟動動Quartus8.1Quartus8.1。其初始界面如圖所示。其初始界面如圖所示。 標(biāo)題欄標(biāo)題欄 標(biāo)題欄中顯示當(dāng)前工程的路徑和工程名。標(biāo)題欄中顯示當(dāng)前工程的路徑和工程名。菜單欄菜單欄 菜單欄主要由文件(菜單欄主要由文件(FileFile)、編輯()、編輯(EditEdit)、視圖)、視圖(ViewView)、工程()、工程(ProjectProject)、資源分配()、資源分配(AssignmentsAssignments)、)、

4、操作(操作(ProcessingProcessing)、工具()、工具(ToolsTools)、窗口()、窗口(WindowWindow)和幫助(和幫助(HelpHelp)等下拉菜單組成。)等下拉菜單組成。工具欄工具欄 工具欄中包含了常用命令的快捷圖標(biāo)。工具欄中包含了常用命令的快捷圖標(biāo)。資源管理窗口資源管理窗口 資源管理窗口用于顯示當(dāng)前工程中所有相關(guān)的資源文資源管理窗口用于顯示當(dāng)前工程中所有相關(guān)的資源文件。件。1 1建立項(xiàng)目建立項(xiàng)目( (不能放在根目錄下不能放在根目錄下) ) 利用利用Quartus IIQuartus II提供的新建工程指南可以幫助我們很容易提供的新建工程指南可以幫助我們很容

5、易的建立一個(gè)工程:的建立一個(gè)工程:在主菜單上選擇在主菜單上選擇FileNew Project Wizard FileNew Project Wizard 將彈出如下將彈出如下圖所示對話框。圖所示對話框。 QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程新建設(shè)計(jì)項(xiàng)目新建設(shè)計(jì)項(xiàng)目在上圖中的第一個(gè)空白處需添入新建工程工作目錄的路徑,在上圖中的第一個(gè)空白處需添入新建工程工作目錄的路徑,為便于管理,為便于管理,Quartus IIQuartus II軟件要求每一個(gè)工程項(xiàng)目及其相軟件要求每一個(gè)工程項(xiàng)目及其相關(guān)文件都統(tǒng)一存儲在單獨(dú)的文件夾中。第二個(gè)空白處需添關(guān)文件都統(tǒng)一存儲在單獨(dú)的文件夾中。第二個(gè)空白處需添入新

6、建的工程名稱。第三個(gè)空白處需添入的是工程的頂層入新建的工程名稱。第三個(gè)空白處需添入的是工程的頂層設(shè)計(jì)實(shí)體名稱,要求頂層設(shè)計(jì)實(shí)體名稱和新建的工程名稱設(shè)計(jì)實(shí)體名稱,要求頂層設(shè)計(jì)實(shí)體名稱和新建的工程名稱保持一致。保持一致。如上圖所示添好后,按如上圖所示添好后,按NextNext按鈕,將會彈出加入文件對話按鈕,將會彈出加入文件對話框,如下圖所示???,如下圖所示。 新建工程新建工程工作目錄工作目錄的路徑的路徑 新建的工新建的工程名稱程名稱工程的頂工程的頂層設(shè)計(jì)實(shí)層設(shè)計(jì)實(shí)體名稱體名稱Quartus設(shè)計(jì)流程設(shè)計(jì)流程新建設(shè)計(jì)項(xiàng)目新建設(shè)計(jì)項(xiàng)目 加入文件對話框:加入文件對話框: 可以在可以在FileFile空白處

7、選擇添入其他已存在的設(shè)計(jì)文件加入到這個(gè)工程中,空白處選擇添入其他已存在的設(shè)計(jì)文件加入到這個(gè)工程中,也可以使用也可以使用User Library PathnamesUser Library Pathnames按鈕把用戶自定義的庫函數(shù)加入到按鈕把用戶自定義的庫函數(shù)加入到工程中使用。完成后按工程中使用。完成后按NextNext按鈕進(jìn)入下一步。按鈕進(jìn)入下一步。下面彈出的是選擇可編程邏輯器件對話框,如下圖所示。選下面彈出的是選擇可編程邏輯器件對話框,如下圖所示。選YesYes,手動選,手動選擇需要的器件,選擇需要的器件,選NoNo,則由編譯器自動選擇。,則由編譯器自動選擇。Quartus設(shè)計(jì)流程設(shè)計(jì)流程

8、新建設(shè)計(jì)項(xiàng)目新建設(shè)計(jì)項(xiàng)目在下一步彈出的對話框中通過選擇器件的在下一步彈出的對話框中通過選擇器件的系列及型號系列及型號,封,封裝形式,引腳數(shù)目,以及速度級別來約束可選器件的范圍。裝形式,引腳數(shù)目,以及速度級別來約束可選器件的范圍。如圖所示。如圖所示。器件設(shè)置對話框器件設(shè)置對話框 Quartus設(shè)計(jì)流程設(shè)計(jì)流程新建設(shè)計(jì)項(xiàng)目新建設(shè)計(jì)項(xiàng)目器件命名方式:器件命名方式:EOM7 128 S L C 84-10EPM7:產(chǎn)品系列為產(chǎn)品系列為EPM7000系列系列128:有:有128個(gè)邏輯宏單元個(gè)邏輯宏單元S:電壓為:電壓為5V,AE為為3.3V,B為為2.5VL:封裝為:封裝為PLCC,Q代表代表PQFP等

9、等C:商業(yè)級(:商業(yè)級(Commercial)070度,度,I:工業(yè)級(:工業(yè)級(Industry),-4085度度M:軍品級(:軍品級(Military),-55125度度84:管腳數(shù)目:管腳數(shù)目10:速度級別:速度級別最后是由新建工程指南建立的工程文件摘要,顯示了上面的最后是由新建工程指南建立的工程文件摘要,顯示了上面的全部設(shè)置選項(xiàng)。至此,新工程建立完畢,在全部設(shè)置選項(xiàng)。至此,新工程建立完畢,在QuartusIIQuartusII設(shè)計(jì)軟設(shè)計(jì)軟件界面的頂部標(biāo)題欄將顯示工程名稱和存儲路徑。如下圖所示。件界面的頂部標(biāo)題欄將顯示工程名稱和存儲路徑。如下圖所示。Quartus設(shè)計(jì)流程設(shè)計(jì)流程新建設(shè)計(jì)

10、項(xiàng)目新建設(shè)計(jì)項(xiàng)目2 2輸入設(shè)計(jì)電路輸入設(shè)計(jì)電路單擊標(biāo)題欄中的單擊標(biāo)題欄中的FileNewFileNew對話框,如圖所示對話框,如圖所示。 單擊單擊NewNew對話框的對話框的 Design Design FilesFiles選項(xiàng)卡,選項(xiàng)卡,Block diagram/schematic Block diagram/schematic filefile,選好后單擊,選好后單擊【OKOK】按鈕,打開原理圖編輯器按鈕,打開原理圖編輯器窗口窗口。QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)輸入設(shè)計(jì)輸入 設(shè)計(jì)輸入設(shè)計(jì)輸入 將所設(shè)計(jì)的電路的邏輯功能按照開發(fā)系統(tǒng)要求的形式表達(dá)出將所設(shè)計(jì)的電路的邏輯功能按

11、照開發(fā)系統(tǒng)要求的形式表達(dá)出來的過程稱為設(shè)計(jì)輸入。來的過程稱為設(shè)計(jì)輸入。 設(shè)計(jì)輸入有如下三種方式:設(shè)計(jì)輸入有如下三種方式: (1)原理圖輸入方式)原理圖輸入方式 適用于對系統(tǒng)及各部分電路很熟悉的場合。適用于對系統(tǒng)及各部分電路很熟悉的場合。 (2)硬件描述語言輸入方式)硬件描述語言輸入方式 硬件描述語言是用文本方式描述設(shè)計(jì),硬件描述語言有硬件描述語言是用文本方式描述設(shè)計(jì),硬件描述語言有ABEL、AHDL、VHDL、Verilog等,其中等,其中VHDL和和Verilog已已成為成為IEEE標(biāo)準(zhǔn)。標(biāo)準(zhǔn)。 (3)波形輸入方式)波形輸入方式QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程 原理圖輸入法原理圖

12、輸入法優(yōu)缺點(diǎn)優(yōu)缺點(diǎn)優(yōu)點(diǎn):優(yōu)點(diǎn): 1)可以與傳統(tǒng)的數(shù)字電路設(shè)計(jì)法接軌,即)可以與傳統(tǒng)的數(shù)字電路設(shè)計(jì)法接軌,即使用傳統(tǒng)設(shè)計(jì)方法得到電路原理圖,然后在使用傳統(tǒng)設(shè)計(jì)方法得到電路原理圖,然后在Quartus平臺完成設(shè)計(jì)電路的輸入、仿真驗(yàn)證和平臺完成設(shè)計(jì)電路的輸入、仿真驗(yàn)證和綜合,最后下載到目標(biāo)芯片中。綜合,最后下載到目標(biāo)芯片中。 2) 它將傳統(tǒng)的電路設(shè)計(jì)過程的布局布線、它將傳統(tǒng)的電路設(shè)計(jì)過程的布局布線、繪制印刷電路板、電路焊接、電路加電測試等過繪制印刷電路板、電路焊接、電路加電測試等過程取消,提高了設(shè)計(jì)效率,降低了設(shè)計(jì)成本,減程取消,提高了設(shè)計(jì)效率,降低了設(shè)計(jì)成本,減輕了設(shè)計(jì)者的勞動強(qiáng)度。輕了設(shè)計(jì)者的勞

13、動強(qiáng)度。缺點(diǎn):缺點(diǎn): 1)原理圖設(shè)計(jì)方法沒有實(shí)現(xiàn)標(biāo)準(zhǔn)化,不同的)原理圖設(shè)計(jì)方法沒有實(shí)現(xiàn)標(biāo)準(zhǔn)化,不同的EDA軟件中的圖形處理工具對圖形的設(shè)計(jì)規(guī)則、軟件中的圖形處理工具對圖形的設(shè)計(jì)規(guī)則、存檔格式和圖形編譯方式都不同,因此兼容性差,存檔格式和圖形編譯方式都不同,因此兼容性差,難以交換和管理。難以交換和管理。 2)由于兼容性不好,性能優(yōu)秀的電路模塊)由于兼容性不好,性能優(yōu)秀的電路模塊的移植和再利用非常困難難以實(shí)現(xiàn)用戶所希望的的移植和再利用非常困難難以實(shí)現(xiàn)用戶所希望的面積、速度以及不同風(fēng)格的綜合優(yōu)化面積、速度以及不同風(fēng)格的綜合優(yōu)化 設(shè)計(jì)輸入設(shè)計(jì)輸入QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程 軟件主軟件

14、主界面工界面工具按鈕具按鈕見見P130在編輯窗中的任何一個(gè)位置上單擊鼠標(biāo)右鍵,在彈出的快捷菜在編輯窗中的任何一個(gè)位置上單擊鼠標(biāo)右鍵,在彈出的快捷菜單中選擇其中的輸入元件項(xiàng)單中選擇其中的輸入元件項(xiàng)Insert-Symbol,于是將彈出如下,于是將彈出如下圖所示的輸入元件的對話框圖所示的輸入元件的對話框 設(shè)計(jì)輸入設(shè)計(jì)輸入QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程 元件選擇窗口 選擇菜單選擇菜單File-Save File-Save 命令,將已設(shè)計(jì)好的原理圖文件取命令,將已設(shè)計(jì)好的原理圖文件取名并存盤在已為此項(xiàng)目建立的文件夾內(nèi)。名并存盤在已為此項(xiàng)目建立的文件夾內(nèi)。設(shè)計(jì)設(shè)計(jì)60進(jìn)制加法計(jì)數(shù)器進(jìn)制加法

15、計(jì)數(shù)器Quartus設(shè)計(jì)流程設(shè)計(jì)流程 將設(shè)計(jì)項(xiàng)目設(shè)置成可調(diào)用的元件將設(shè)計(jì)項(xiàng)目設(shè)置成可調(diào)用的元件作業(yè)作業(yè)完成完成60進(jìn)制加法計(jì)數(shù)器的原理圖輸入進(jìn)制加法計(jì)數(shù)器的原理圖輸入The End Thank you上節(jié)課總結(jié)上節(jié)課總結(jié)1、項(xiàng)目文件放在根目錄下、項(xiàng)目文件放在根目錄下2、節(jié)點(diǎn)標(biāo)號的使用、節(jié)點(diǎn)標(biāo)號的使用3、繪制導(dǎo)線與畫線是不同的概念、繪制導(dǎo)線與畫線是不同的概念問題:問題:1.編輯圖形模塊的作用?編輯圖形模塊的作用?2.總線怎么表示?總線怎么表示?3.項(xiàng)目文件的作用?項(xiàng)目文件的作用?本節(jié)課內(nèi)容本節(jié)課內(nèi)容介紹編譯、仿真、下載介紹編譯、仿真、下載3 3設(shè)計(jì)編譯設(shè)計(jì)編譯QuartusQuartus編譯器的

16、主要任務(wù)是對設(shè)計(jì)項(xiàng)目進(jìn)行檢查編譯器的主要任務(wù)是對設(shè)計(jì)項(xiàng)目進(jìn)行檢查并完成邏輯綜合,同時(shí)將項(xiàng)目最終設(shè)計(jì)結(jié)果生成器件并完成邏輯綜合,同時(shí)將項(xiàng)目最終設(shè)計(jì)結(jié)果生成器件的下載文件。編譯開始前,可以先對工程的參數(shù)進(jìn)行的下載文件。編譯開始前,可以先對工程的參數(shù)進(jìn)行設(shè)置(設(shè)置(P140-141)P140-141)。上機(jī)時(shí)練習(xí)上機(jī)時(shí)練習(xí)QuartusQuartus軟件中的編譯類型有全編譯和分步編譯兩軟件中的編譯類型有全編譯和分步編譯兩種。種。l選擇選擇QuartusQuartus主窗口主窗口ProcessProcess菜單下菜單下Start Start CompilationCompilation命令,或者在主窗

17、口命令,或者在主窗口的工具欄的工具欄上直接點(diǎn)上直接點(diǎn)擊圖標(biāo)擊圖標(biāo) 可以進(jìn)行全編譯可以進(jìn)行全編譯QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 全全編譯的過程包括分析編譯的過程包括分析與綜合與綜合(Analysis & Analysis & SynthesisSynthesis)、適配、適配(FitterFitter)、編程、編程(AssemblerAssembler)、時(shí)序分、時(shí)序分析析(Classical Timing (Classical Timing Analysis)Analysis)QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 分步編譯就是使用對應(yīng)命令分

18、步執(zhí)行對應(yīng)的編譯環(huán)節(jié),每分步編譯就是使用對應(yīng)命令分步執(zhí)行對應(yīng)的編譯環(huán)節(jié),每完成一個(gè)編譯環(huán)節(jié),生成一個(gè)對應(yīng)的編譯報(bào)告。分步編完成一個(gè)編譯環(huán)節(jié),生成一個(gè)對應(yīng)的編譯報(bào)告。分步編譯跟全編譯一樣分為四步:譯跟全編譯一樣分為四步:分析與綜合分析與綜合(Analysis & SynthesisAnalysis & Synthesis) :設(shè)計(jì)文件進(jìn)行:設(shè)計(jì)文件進(jìn)行分析和檢查輸入文件是否有錯(cuò)誤,對應(yīng)的菜單命令是分析和檢查輸入文件是否有錯(cuò)誤,對應(yīng)的菜單命令是QuartusQuartus主窗口主窗口ProcessProcess菜單下菜單下StartStart Analysis StartStart Analysi

19、s & Synthesis& Synthesis,對應(yīng)的快捷圖標(biāo)是對應(yīng)的快捷圖標(biāo)是在主窗口在主窗口的工具欄的工具欄上上的的 ; 適配(適配(FitterFitter) :在適配過程中,完成設(shè)計(jì)邏輯器件:在適配過程中,完成設(shè)計(jì)邏輯器件中的布局布線、選擇適當(dāng)?shù)膬?nèi)部互連路徑、引腳分配、中的布局布線、選擇適當(dāng)?shù)膬?nèi)部互連路徑、引腳分配、邏輯元件分配等,對應(yīng)的菜單命令是邏輯元件分配等,對應(yīng)的菜單命令是QuartusQuartus主窗口主窗口ProcessProcess菜單下菜單下StartStart Fitter StartStart Fitter ;(注:兩種編譯;(注:兩種編譯方式引腳分配有所區(qū)別方式

20、引腳分配有所區(qū)別 ) QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 編程編程(AssemblerAssembler) :產(chǎn)生多種形式的器件編程映像文產(chǎn)生多種形式的器件編程映像文件件 ,通過軟件下載到目標(biāo)器件當(dāng)中去,應(yīng)的菜單命令,通過軟件下載到目標(biāo)器件當(dāng)中去,應(yīng)的菜單命令是是QuartusQuartus主窗口主窗口ProcessProcess菜單下菜單下StartStart StartStart Assembler Assembler ;時(shí)序分析時(shí)序分析(Classical Timing Analyzer) (Classical Timing Analyzer) :計(jì)算給定設(shè):計(jì)

21、算給定設(shè)計(jì)與器件上的延時(shí),完成設(shè)計(jì)分析的時(shí)序分析和所有邏計(jì)與器件上的延時(shí),完成設(shè)計(jì)分析的時(shí)序分析和所有邏輯的性能分析,菜單命令是輯的性能分析,菜單命令是QuartusQuartus主窗口主窗口ProcessProcess菜菜單下單下StartStart Classical Timing Analyzer StartStart Classical Timing Analyzer ,對應(yīng),對應(yīng)的快捷圖標(biāo)是的快捷圖標(biāo)是在主窗口在主窗口的工具欄的工具欄上上的的 。 編譯完成以后,編譯報(bào)告窗口編譯完成以后,編譯報(bào)告窗口Compilation Compilation ReportReport會報(bào)告工程文件

22、編譯的相關(guān)信息,如編會報(bào)告工程文件編譯的相關(guān)信息,如編譯的頂層文件名、目標(biāo)芯片的信號、引腳的數(shù)譯的頂層文件名、目標(biāo)芯片的信號、引腳的數(shù)目等等目等等 。QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 時(shí)序分析結(jié)果時(shí)序分析結(jié)果編譯報(bào)告編譯報(bào)告:QuartusQuartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 RTL閱讀器閱讀器 在設(shè)計(jì)的調(diào)試和優(yōu)化過程中,可以使用在設(shè)計(jì)的調(diào)試和優(yōu)化過程中,可以使用RTLRTL閱閱讀器觀察設(shè)計(jì)電路的綜合結(jié)果,同時(shí)也可以觀察讀器觀察設(shè)計(jì)電路的綜合結(jié)果,同時(shí)也可以觀察源設(shè)計(jì)如何被翻譯成邏輯門、原語等源設(shè)計(jì)如何被翻譯成邏輯門、原語等 。 RTLRTL閱讀器是觀察和

23、確定源設(shè)計(jì)是否實(shí)現(xiàn)了設(shè)閱讀器是觀察和確定源設(shè)計(jì)是否實(shí)現(xiàn)了設(shè)計(jì)要求的理想工具。計(jì)要求的理想工具。 執(zhí)行仿真驗(yàn)證設(shè)計(jì)功能之前使用執(zhí)行仿真驗(yàn)證設(shè)計(jì)功能之前使用RTLRTL閱讀器查閱讀器查找設(shè)計(jì)中的問題,可以在設(shè)計(jì)早期發(fā)現(xiàn)問題,為找設(shè)計(jì)中的問題,可以在設(shè)計(jì)早期發(fā)現(xiàn)問題,為后期的驗(yàn)證工作節(jié)省時(shí)間。后期的驗(yàn)證工作節(jié)省時(shí)間。 當(dāng)設(shè)計(jì)通過編譯后,選擇當(dāng)設(shè)計(jì)通過編譯后,選擇QuartusQuartus主窗口主窗口ToolsTools菜單下菜單下Netlist ViewersRTL ViewerNetlist ViewersRTL Viewer命令,命令,彈出彈出RTLRTL閱讀器窗口閱讀器窗口QuartusQu

24、artus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)編譯設(shè)計(jì)編譯 RTL閱讀器閱讀器Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真仿真的目的就是在軟件環(huán)境下,驗(yàn)證電路的行為和設(shè)仿真的目的就是在軟件環(huán)境下,驗(yàn)證電路的行為和設(shè)想中的是否一致。想中的是否一致。 FPGA/CPLD中的仿真分為功能仿真和時(shí)序仿真。功中的仿真分為功能仿真和時(shí)序仿真。功能仿真著重考察電路在理想環(huán)境下的行為和設(shè)計(jì)構(gòu)想能仿真著重考察電路在理想環(huán)境下的行為和設(shè)計(jì)構(gòu)想的一致性,時(shí)序仿真則在電路已經(jīng)映射到特定的工藝的一致性,時(shí)序仿真則在電路已經(jīng)映射到特定的工藝環(huán)境后,考察器件在延時(shí)情況下對布局布線網(wǎng)表文件環(huán)境后,考察器件在延時(shí)情況下對布局布線網(wǎng)表文件進(jìn)行

25、的一種仿真。進(jìn)行的一種仿真。仿真一般需要建立波形文件、輸入信號節(jié)點(diǎn)、編輯輸仿真一般需要建立波形文件、輸入信號節(jié)點(diǎn)、編輯輸入信號、波形文件的保存和運(yùn)行仿真器等過程。入信號、波形文件的保存和運(yùn)行仿真器等過程。4 4設(shè)計(jì)仿真設(shè)計(jì)仿真 QuartusII支持多種仿真輸入方法,它支持波形方式輸入,支持多種仿真輸入方法,它支持波形方式輸入,如:向量波形文件(如:向量波形文件(.vwf)、向量文件()、向量文件(.vec)、列表文件)、列表文件(.tbl),也支持),也支持Testbench如:如:Tcl/TK腳本文件,同時(shí)也支腳本文件,同時(shí)也支持第三方的仿真工具的持第三方的仿真工具的Verilog/VHD

26、L Testbench。 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真 建立仿真波形文件建立仿真波形文件: :在主菜單中選擇在主菜單中選擇File/NewFile/New選項(xiàng),在彈出的選項(xiàng),在彈出的NewNew對話框中選擇對話框中選擇Vector Vector Waveform FileWaveform File。 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真輸入信號節(jié)點(diǎn)輸入信號節(jié)點(diǎn) (1 1) 在波形編輯方式下,在波形編輯方式下, 執(zhí)行執(zhí)行EditEdit菜單中的菜單中的 Insert Node or BusInsert Node or Bus命令,或者命令,或者在波形編輯器左在波形編輯

27、器左邊邊NameName列的空白處點(diǎn)擊鼠標(biāo)右鍵列的空白處點(diǎn)擊鼠標(biāo)右鍵,彈出的,彈出的Insert Insert Nodeor BusNodeor Bus對話框?qū)υ捒騋uartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真(2 2)點(diǎn)擊點(diǎn)擊Insert Nodeor BusInsert Nodeor Bus對話框中的對話框中的Node Node FinderFinder按鈕按鈕,彈出,彈出Node FinderNode Finder窗口,在此窗窗口,在此窗口中添加信號節(jié)點(diǎn)??谥刑砑有盘柟?jié)點(diǎn)。Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真編輯輸入波形編輯輸入波形(輸入激勵信號輸入激勵信號)。P150 編輯輸

28、入信號是指在波形編輯器中指定輸入節(jié)點(diǎn)的邏輯電平編輯輸入信號是指在波形編輯器中指定輸入節(jié)點(diǎn)的邏輯電平變化,編輯輸入節(jié)點(diǎn)的波形。變化,編輯輸入節(jié)點(diǎn)的波形。Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真設(shè)置仿真參數(shù)設(shè)置仿真參數(shù):設(shè)置仿真時(shí)間區(qū)域。對于時(shí)序仿設(shè)置仿真時(shí)間區(qū)域。對于時(shí)序仿真來說,將仿真時(shí)間軸設(shè)置在一個(gè)合理的時(shí)間區(qū)真來說,將仿真時(shí)間軸設(shè)置在一個(gè)合理的時(shí)間區(qū)域上十分重要。通常設(shè)置的時(shí)間范圍在數(shù)十微秒域上十分重要。通常設(shè)置的時(shí)間范圍在數(shù)十微秒間:間:Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真 Quartos仿真設(shè)置仿真設(shè)置Quartus軟件中默認(rèn)的是時(shí)序仿真,如果進(jìn)行功能軟件中默認(rèn)的是時(shí)序

29、仿真,如果進(jìn)行功能仿真則需要先對仿真進(jìn)行設(shè)置,步驟如下:仿真則需要先對仿真進(jìn)行設(shè)置,步驟如下: (1)選擇選擇Quartus主窗口主窗口Assignments菜單下的菜單下的Settings命令,可以進(jìn)入?yún)?shù)設(shè)置頁面,如下圖所示,命令,可以進(jìn)入?yún)?shù)設(shè)置頁面,如下圖所示,然后單擊然后單擊Simulation Settings ,在右邊的對話框,在右邊的對話框中的中的Simulation mode中選擇中選擇“Function”;Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真(2)選擇選擇Quartus主窗口主窗口Processing菜單下的菜單下的Generate Functional Simu

30、lation Netlist命令,生成功能仿真網(wǎng)表文件;命令,生成功能仿真網(wǎng)表文件;Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真存盤,并啟動仿真存盤,并啟動仿真Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真觀察仿真波形觀察仿真波形Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真功能仿真滿足要求后,還要對設(shè)計(jì)進(jìn)行時(shí)功能仿真滿足要求后,還要對設(shè)計(jì)進(jìn)行時(shí)序仿真,時(shí)序仿真可以在編譯后直接進(jìn)行,序仿真,時(shí)序仿真可以在編譯后直接進(jìn)行,但是要將上圖中的但是要將上圖中的Simulation modeSimulation mode中設(shè)置中設(shè)置為為“Timing”Timing”,設(shè)置好以后直接選擇,設(shè)置好以后直接

31、選擇Start Start SimulationSimulation命令,執(zhí)行時(shí)序仿真。命令,執(zhí)行時(shí)序仿真。Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)仿真設(shè)計(jì)仿真 設(shè)置引腳設(shè)置引腳為了能對此為了能對此60進(jìn)制計(jì)數(shù)器進(jìn)行硬件測試,應(yīng)將其進(jìn)制計(jì)數(shù)器進(jìn)行硬件測試,應(yīng)將其輸入輸出信號鎖定在芯片確定的引腳上,編譯后輸入輸出信號鎖定在芯片確定的引腳上,編譯后下載。下載。 選擇選擇Assignment菜單中的菜單中的AssignmentsEditor項(xiàng),即進(jìn)入如圖所示的項(xiàng),即進(jìn)入如圖所示的Assignment 編輯器窗。在編輯器窗。在Category欄中選擇欄中選擇Pin。 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)

32、計(jì)下載雙擊雙擊“To”“To”欄的欄的,在出現(xiàn)的如圖所示的下拉欄中,在出現(xiàn)的如圖所示的下拉欄中分別選擇本工程要鎖定的端口信號名;然后雙擊對應(yīng)分別選擇本工程要鎖定的端口信號名;然后雙擊對應(yīng)的的LocationLocation欄的欄的,在出現(xiàn)的下拉欄中選擇對應(yīng),在出現(xiàn)的下拉欄中選擇對應(yīng)端口信號名的器件引腳號,如對應(yīng)端口信號名的器件引腳號,如對應(yīng)CLK,CLK,選擇選擇5 5腳。腳。 設(shè)設(shè)置完成后編譯置完成后編譯。Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)計(jì)下載器件視圖器件視圖管腳的定義管腳的定義特殊功能的管腳特殊功能的管腳電源腳電源腳VCC和和GND, VCC 一般分為一般分為VCCINT和和 VC

33、CIO兩種兩種JTAG 管腳:實(shí)現(xiàn)在線編程和邊界掃描管腳:實(shí)現(xiàn)在線編程和邊界掃描信號管腳信號管腳專用輸入管腳:全局時(shí)鐘、復(fù)位、置位專用輸入管腳:全局時(shí)鐘、復(fù)位、置位可隨意配置為其功能為:輸入、輸出、雙向、可隨意配置為其功能為:輸入、輸出、雙向、三態(tài)三態(tài)。打開編程窗和配置文件。首先將實(shí)驗(yàn)系統(tǒng)和并口通信線打開編程窗和配置文件。首先將實(shí)驗(yàn)系統(tǒng)和并口通信線連接好,打開電源。在菜單連接好,打開電源。在菜單ToolTool中選擇中選擇ProgrammerProgrammer,于,于是彈出如圖所示的編程窗。在是彈出如圖所示的編程窗。在ModeMode欄中有欄中有4 4種編程模式種編程模式可以選擇:可以選擇:

34、JTAGJTAG, Passive SerialPassive Serial, Active SerialActive Serial和和In-SocketIn-Socket。為了直接對。為了直接對FPGAFPGA進(jìn)行配置,在編程窗的進(jìn)行配置,在編程窗的編程模式編程模式ModeMode中選中選JTAG(JTAG(默認(rèn)默認(rèn)) ),并選中打勾下載文件右,并選中打勾下載文件右側(cè)的第一小方框。注意要仔細(xì)核對下載文件路徑與文件側(cè)的第一小方框。注意要仔細(xì)核對下載文件路徑與文件名。如果此文件沒有出現(xiàn)或有錯(cuò),單擊左側(cè)名。如果此文件沒有出現(xiàn)或有錯(cuò),單擊左側(cè)“Add FileAdd File”按鈕,手動選擇配置文件

35、按鈕,手動選擇配置文件con60.sofcon60.sof。 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)計(jì)下載 設(shè)置編程器。若是初次安裝的設(shè)置編程器。若是初次安裝的Quartus,Quartus,在編程前必須在編程前必須進(jìn)行編程器選擇操作。這里準(zhǔn)備選擇進(jìn)行編程器選擇操作。這里準(zhǔn)備選擇ByteBlaster ByteBlaster MVLPT1MVLPT1。單擊。單擊Hardware SetupHardware Setup按鈕可設(shè)置下載接口方按鈕可設(shè)置下載接口方式,在彈出的式,在彈出的Hardware SetupHardware Setup對話框中,選擇對話框中,選擇Hardware Hardwa

36、re settingssettings頁,再雙擊此頁中的選項(xiàng)頁,再雙擊此頁中的選項(xiàng)BytcBlasterBytcBlaster之后,之后,單擊單擊CloseClose按鈕,關(guān)閉對話框即可。這時(shí)應(yīng)該在編程窗按鈕,關(guān)閉對話框即可。這時(shí)應(yīng)該在編程窗右上顯示出編程方式:右上顯示出編程方式:ytcBlaster LPT 1ytcBlaster LPT 1。如果打開。如果打開下所示的窗口內(nèi)下所示的窗口內(nèi)“Currently selectedCurrently selected”右側(cè)顯示右側(cè)顯示No No HardwareHardware,則必須加入下載方式。即點(diǎn)擊,則必須加入下載方式。即點(diǎn)擊Add Har

37、dwareAdd Hardware鈕,在彈出的窗中點(diǎn)擊鈕,在彈出的窗中點(diǎn)擊OKOK,再在窗口中雙擊,再在窗口中雙擊BytcBlasterBytcBlaster,使,使“Currently selectedCurrently selected”右側(cè)顯示右側(cè)顯示BytcBlaster LPT1BytcBlaster LPT1。 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)計(jì)下載 Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)計(jì)下載 下載:單擊下載標(biāo)符下載:單擊下載標(biāo)符StartStart按鈕,即進(jìn)入對目標(biāo)器件按鈕,即進(jìn)入對目標(biāo)器件FPGAFPGA的配置下載操作。當(dāng)?shù)呐渲孟螺d操作。當(dāng)ProgressProgr

38、ess顯示出顯示出100%100%,以及在,以及在底部的處理欄中出現(xiàn)底部的處理欄中出現(xiàn)“Configuration SucceededConfiguration Succeeded”時(shí),時(shí),表示編程成功。注意,如果必要,可再次單擊表示編程成功。注意,如果必要,可再次單擊StartStart按按鈕,直至編程成功。鈕,直至編程成功。 硬件測試:軟件下載成功后,測試已完成電路是否符合硬件測試:軟件下載成功后,測試已完成電路是否符合設(shè)計(jì)要求。設(shè)計(jì)要求。Quartus設(shè)計(jì)流程設(shè)計(jì)流程設(shè)計(jì)下載設(shè)計(jì)下載作業(yè)作業(yè)完成一位全加器的設(shè)計(jì)輸入、編譯、仿真、完成一位全加器的設(shè)計(jì)輸入、編譯、仿真、分配管腳分配管腳The

39、 End Thank you本節(jié)課內(nèi)容本節(jié)課內(nèi)容層次化項(xiàng)目設(shè)計(jì)層次化項(xiàng)目設(shè)計(jì)層次化項(xiàng)目設(shè)計(jì)步驟:步驟:1、新建項(xiàng)目(注:文件夾不要為中文,盡、新建項(xiàng)目(注:文件夾不要為中文,盡可能也不要為數(shù)字)頂層文件為可能也不要為數(shù)字)頂層文件為ADD42、通過、通過FILE/NEW建立底層文件建立底層文件ADD.BDF,并將其并將其SAVE AS在本項(xiàng)目文件夾中在本項(xiàng)目文件夾中3、將底層文件設(shè)置成可調(diào)用的元件。如下、將底層文件設(shè)置成可調(diào)用的元件。如下圖圖層次化項(xiàng)目設(shè)計(jì)為了便于頂層模塊的調(diào)用,將前面設(shè)計(jì)的電路變成為了便于頂層模塊的調(diào)用,將前面設(shè)計(jì)的電路變成一個(gè)元件符號。一個(gè)元件符號。層次化項(xiàng)目設(shè)計(jì)4、新建一

40、個(gè)空白圖形文件,調(diào)用符號、新建一個(gè)空白圖形文件,調(diào)用符號ADD,完成完成4位位全加器的設(shè)計(jì)(注意標(biāo)號的使用,如全加器的設(shè)計(jì)(注意標(biāo)號的使用,如a0),將,將其另存為其另存為ADD4(即頂層文件)。(即頂層文件)。5、如圖所示,將、如圖所示,將ADD4指定為頂層文件指定為頂層文件層次化項(xiàng)目設(shè)計(jì)對對ADD4進(jìn)行編譯進(jìn)行編譯仿真,結(jié)果如圖仿真,結(jié)果如圖注意:注意:1、頂層文件和底層文件必須在同一、頂層文件和底層文件必須在同一目錄下目錄下2、在同一設(shè)計(jì)項(xiàng)目中,頂層設(shè)計(jì)文、在同一設(shè)計(jì)項(xiàng)目中,頂層設(shè)計(jì)文件名及各底層符號所對應(yīng)的設(shè)計(jì)文件件名及各底層符號所對應(yīng)的設(shè)計(jì)文件名必須是唯一的,不允許有重名的文名必須是

41、唯一的,不允許有重名的文件。件。使用使用Quartus軟件的軟件的MegaWizard Plug-In Manager中的宏功能模塊可以幫助用戶調(diào)用中的宏功能模塊可以幫助用戶調(diào)用Quartus內(nèi)部已有的內(nèi)部已有的IP(知識產(chǎn)權(quán))核來完成(知識產(chǎn)權(quán))核來完成一些復(fù)雜系統(tǒng)的設(shè)計(jì),并可以方便的對調(diào)用的一些復(fù)雜系統(tǒng)的設(shè)計(jì),并可以方便的對調(diào)用的IP核的參數(shù)進(jìn)行修改。核的參數(shù)進(jìn)行修改。Altera提供的宏功能提供的宏功能 LPM(Library Parameterized Modules)、MegaCore(FFT FIR)、)、 AMMP(Altera Megafunction Partners Pro

42、gram)。后兩者需要另外授權(quán)和。后兩者需要另外授權(quán)和安裝,不作介紹。安裝,不作介紹。下面以一個(gè)調(diào)用下面以一個(gè)調(diào)用lpm_add宏功能模塊來實(shí)現(xiàn)一個(gè)宏功能模塊來實(shí)現(xiàn)一個(gè)8位全加器為例,簡單介紹宏功能模塊的使用。位全加器為例,簡單介紹宏功能模塊的使用。設(shè)計(jì)開始前新建立一個(gè)名為設(shè)計(jì)開始前新建立一個(gè)名為add8的工程,在工程的工程,在工程中新建一個(gè)新的中新建一個(gè)新的bdf文件,在空白處雙擊,打開符文件,在空白處雙擊,打開符號庫,如下圖。號庫,如下圖。算術(shù)組件算術(shù)組件 累加器、加法器、乘法器和累加器、加法器、乘法器和LPMLPM算術(shù)函數(shù)算術(shù)函數(shù) 門電路門電路 多路復(fù)用器和多路復(fù)用器和LPMLPM門函數(shù)

43、門函數(shù) I/OI/O組件組件 時(shí)鐘數(shù)據(jù)恢復(fù)時(shí)鐘數(shù)據(jù)恢復(fù)(CDR)(CDR)、鎖相環(huán)、鎖相環(huán)(PLL)(PLL)、雙數(shù)據(jù)速率、雙數(shù)據(jù)速率(DDR)(DDR)、千兆位收發(fā)器塊、千兆位收發(fā)器塊(GXB)(GXB)、LVDSLVDS接收器和發(fā)送器、接收器和發(fā)送器、PLLPLL重新配置和遠(yuǎn)程更新宏功能模塊重新配置和遠(yuǎn)程更新宏功能模塊 存儲組件存儲組件 存儲器、移位寄存器宏模塊和存儲器、移位寄存器宏模塊和LPMLPM存儲器函數(shù)存儲器函數(shù) MegaWizard Plug-In Manager第一頁 MegaWizard Plug-In Manager第二頁 MegaWizard Plug-In Manage

44、r第三頁 MegaWizard Plug-In Manager第四頁 MegaWizard Plug-In Manager第五頁 MegaWizard Plug-In Manager第六頁 MegaWizard Plug-In Manager第七頁 MegaWizard Plug-In Manager第八頁 生成生成ADD符號,對其進(jìn)行編譯、仿真符號,對其進(jìn)行編譯、仿真注:此時(shí)已生成注:此時(shí)已生成add.vhd文件,可直接編譯文件,可直接編譯競爭和冒險(xiǎn)競爭和冒險(xiǎn)競爭和冒險(xiǎn)現(xiàn)象競爭和冒險(xiǎn)競爭和冒險(xiǎn)疑問疑問為什么這種情況在平時(shí)用74系列中規(guī)模集成電路設(shè)計(jì)時(shí)不會出現(xiàn)呢?競爭和冒險(xiǎn)競爭和冒險(xiǎn)常規(guī)電路分

45、析常規(guī)電路分析競爭和冒險(xiǎn)競爭和冒險(xiǎn)2、一個(gè)最簡單的組合邏輯電路、一個(gè)最簡單的組合邏輯電路一個(gè)兩輸入的與門或者兩輸入的或門是最簡一個(gè)兩輸入的與門或者兩輸入的或門是最簡單的電路單的電路!可編程器件對這么簡單的電路的處理結(jié)果是什么呢可編程器件對這么簡單的電路的處理結(jié)果是什么呢競爭和冒險(xiǎn)競爭和冒險(xiǎn)競爭和冒險(xiǎn)競爭和冒險(xiǎn)競爭和冒險(xiǎn)競爭和冒險(xiǎn)4.深入總結(jié)深入總結(jié)當(dāng)某一時(shí)刻同時(shí)有一個(gè)以上的信號發(fā)生變化時(shí)容易產(chǎn)當(dāng)某一時(shí)刻同時(shí)有一個(gè)以上的信號發(fā)生變化時(shí)容易產(chǎn)生毛刺生毛刺組合邏輯電路是會產(chǎn)生毛刺的組合邏輯電路是會產(chǎn)生毛刺的 理論結(jié)果理論結(jié)果實(shí)際結(jié)果實(shí)際結(jié)果Error分分 析析Q2 Q1 Q00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1毛刺毛刺 ?No毛刺毛刺?No毛刺毛刺?No毛刺毛刺?0 1 11 0 0Yes毛刺毛刺?No毛刺毛刺?1 0 11 1 0Yes毛刺毛刺?No仿真結(jié)果分析仿真結(jié)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論