陣列除法器設(shè)計(jì)與實(shí)現(xiàn)_第1頁
陣列除法器設(shè)計(jì)與實(shí)現(xiàn)_第2頁
陣列除法器設(shè)計(jì)與實(shí)現(xiàn)_第3頁
陣列除法器設(shè)計(jì)與實(shí)現(xiàn)_第4頁
陣列除法器設(shè)計(jì)與實(shí)現(xiàn)_第5頁
已閱讀5頁,還剩11頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、課課 程程 設(shè)設(shè) 計(jì)計(jì) 報(bào)報(bào) 告告課程設(shè)計(jì)名稱:計(jì)算機(jī)組成原理課程設(shè)計(jì)計(jì)算機(jī)組成原理課程設(shè)計(jì)課程設(shè)計(jì)題目:陣列除法器設(shè)計(jì)與實(shí)現(xiàn)陣列除法器設(shè)計(jì)與實(shí)現(xiàn)院(系): 計(jì)算機(jī)學(xué)院專 業(yè): 班 級(jí):學(xué) 號(hào):姓 名: 指導(dǎo)教師:沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -0-目目 錄錄第第 1 章章 總體設(shè)計(jì)方案總體設(shè)計(jì)方案.11.1 設(shè)計(jì)原理.11.2 設(shè)計(jì)思路.31.3 設(shè)計(jì)環(huán)境.3第第 2 章章 詳細(xì)設(shè)計(jì)方案詳細(xì)設(shè)計(jì)方案.52.1 功能模塊的設(shè)計(jì)與實(shí)現(xiàn).52.1.1 細(xì)胞模塊的設(shè)計(jì)與實(shí)現(xiàn).52.1.2 除法器模塊的設(shè)計(jì)與實(shí)現(xiàn).72.2 仿真調(diào)試.9參考文獻(xiàn)參考文獻(xiàn).11附附 錄(電路原理圖)錄(電路原理圖)沈陽航

2、空航天大學(xué)課程設(shè)計(jì)報(bào)告 -1-.12沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -0-第 1 章 總體設(shè)計(jì)方案1.1 設(shè)計(jì)原理設(shè)計(jì)原理在原碼除法中,原碼除法符號(hào)位是單獨(dú)處理的,商符由兩數(shù)符號(hào)位進(jìn)行異或運(yùn)算求得,商值由兩數(shù)絕對(duì)值相除求得。原碼除法中由于對(duì)余數(shù)的處理不同,又可分為恢復(fù)余數(shù)法和不恢復(fù)余數(shù)法(加減交替法) 。在機(jī)器操作中通常采用加減交替法,因?yàn)榧訙p交替法機(jī)器除法時(shí)間短,操作規(guī)則。加減交替法的運(yùn)算規(guī)則如下:(1)當(dāng)余數(shù)為正時(shí),上商 1,余數(shù)左移一位后減去除數(shù)得下一位余數(shù)。(2)當(dāng)余數(shù)為負(fù)時(shí),上商 0,余數(shù)左移一位后加上除數(shù)得下一位余數(shù)。陣列除法器是一種并行運(yùn)算部件,采用大規(guī)模集成電路制造,與早期的串行

3、除法器相比,陣列除法器不僅所需的控制線路少,而且能提供令人滿意的高速運(yùn)算速度。陣列除法器有多種形式,如不恢復(fù)余數(shù)陣列除法器、補(bǔ)碼陣列除法器等等。本實(shí)驗(yàn)設(shè)計(jì)的是加減交替陣列除法器。本實(shí)驗(yàn)利用的細(xì)胞單元是一個(gè)可控加法/減法 cas 單元,利用它組成的流水陣列來實(shí)現(xiàn)四位小數(shù)的除法。cas 單元有四個(gè)輸入端、四個(gè)輸出端。其中有一個(gè)控制輸入端 p,當(dāng) p=0 時(shí),cas 作加法運(yùn)算;當(dāng) p=1 時(shí),cas 作減法運(yùn)算。邏輯結(jié)構(gòu)圖如圖 1.1 所示。沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -1-圖 1.1 可控加法/減法(cas)單元邏輯結(jié)構(gòu)圖cas 單元的輸入與輸出的關(guān)系可用如下邏輯方程來表示:si=ai(bip

4、)cici+1=(ai+ci)(bip)+aici當(dāng) p=0 時(shí),cas 單元就是一個(gè)全加器,如下:si=aibicici+1=aibi+bici+aici當(dāng) p=1 時(shí),則得求差公式:si=aibicici+1=aibi+bici+aici其中有 bi=bi1在減法中,輸入稱為借位輸入,而稱為借位輸出。不恢復(fù)余數(shù)法的除法即加減交替法。在不恢復(fù)余數(shù)的除法陣列中,若前一行輸出的符號(hào)與被除數(shù)的符號(hào)是一致的則這一行執(zhí)行加法,如果不一致則這一行執(zhí)行減沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -2-法。當(dāng)出現(xiàn)不夠減時(shí),部分余數(shù)相對(duì)被除數(shù)來說要改變符號(hào)。這時(shí)應(yīng)該產(chǎn)生一個(gè)商位“0” ,除數(shù)首先沿對(duì)角線右移,然后加到下一

5、行的部分余數(shù)上,當(dāng)部分余數(shù)不改變它的符號(hào)時(shí),即產(chǎn)生商位“1” ,下一行的操作應(yīng)該是減法。本實(shí)驗(yàn)就是要求用加減交替法設(shè)計(jì)陣列除法器。如下圖 1.2 所示的就是用加減交替法設(shè)計(jì)的陣列除法器,圖中每一個(gè)方框代表一個(gè) cas 單元,除數(shù)為y0y1y2y3y4;被除數(shù)為 x0x1x2x3x4。其中 x0 和 y0 是被除數(shù)和除數(shù)的符號(hào)位,均為零,商的符號(hào)恒為零,商為 0.s1s2s3s4,余數(shù)為 0.000yu1yu2yu3yu4yu5。被除數(shù)由頂部一行和最右邊的對(duì)角線上的垂直輸入線來提供,除數(shù)沿對(duì)角線方向進(jìn)入陣列。由控制信號(hào) p 來決定此行作加法還是除法,當(dāng) p=0 時(shí),cas 作加法運(yùn)算;當(dāng) p=1

6、 時(shí),cas 作減法運(yùn)算。1.2 設(shè)計(jì)思設(shè)計(jì)思路路在本實(shí)驗(yàn)中要求輸入得除數(shù)和被除數(shù)數(shù)據(jù)位均為四位,并用加減交替法來設(shè)計(jì)這個(gè)陣列除法器。這個(gè)可以用 cas 單元所組成的流水陣列來實(shí)現(xiàn),四位數(shù)據(jù)位加上一個(gè)符號(hào)位,一個(gè)五位除五位的加減交替除法陣列由 55 個(gè) cas 單元組成,其中兩個(gè)操作數(shù)均為正。流水邏輯框圖如上圖 1.2 所示 1.3 設(shè)計(jì)環(huán)境設(shè)計(jì)環(huán)境(1)硬件環(huán)境硬件環(huán)境 偉福偉福 cop2000 型計(jì)算機(jī)組成原理實(shí)驗(yàn)儀型計(jì)算機(jī)組成原理實(shí)驗(yàn)儀cop2000 計(jì)算機(jī)組成原理實(shí)驗(yàn)系統(tǒng)由實(shí)驗(yàn)平臺(tái)、開關(guān)電源、軟件三大部分組成。實(shí)驗(yàn)平臺(tái)上有寄存器組 r0-r3、運(yùn)算單元、累加器等組成。cop2000 計(jì)

7、算機(jī)組成原理實(shí)驗(yàn)系統(tǒng)各單元部件都以計(jì)算機(jī)結(jié)構(gòu)模型布局,系統(tǒng)在實(shí)驗(yàn)時(shí)即使不借助 pc 機(jī),也可實(shí)時(shí)監(jiān)控?cái)?shù)據(jù)流狀態(tài)及正確與否, 實(shí)驗(yàn)系統(tǒng)的軟硬件對(duì)用戶的實(shí)驗(yàn)設(shè)計(jì)具有完全的開放特性,系統(tǒng)提供了微程序控制器和組合邏輯控制器兩種控制器方式, 系統(tǒng)還支持手動(dòng)方式、聯(lián)機(jī)方式、模擬方式三種工作方式,系統(tǒng)具備完善的尋址方式、指令系統(tǒng)和強(qiáng)大的模擬調(diào)試功能。沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -3- cop2000 集成調(diào)試軟件集成調(diào)試軟件cop2000 集成開發(fā)環(huán)境是為 cop2000 實(shí)驗(yàn)儀與 pc 機(jī)相連進(jìn)行高層次實(shí)驗(yàn)的配套軟件,它通過實(shí)驗(yàn)儀的串行接口和 pc 機(jī)的串行接口相連,提供匯編、反匯編、編輯、修改指令、文

8、件傳送、調(diào)試 fpga 實(shí)驗(yàn)等功能,該軟件在windows 下運(yùn)行。(2)eda 環(huán)境環(huán)境 xilinx foundation f3.1 設(shè)計(jì)軟件設(shè)計(jì)軟件xilinx foundation f3.1 是 xilinx 公司的可編程期間開發(fā)工具,該平臺(tái)功能強(qiáng)大,主要用于百萬邏輯門設(shè)計(jì)。該系統(tǒng)由設(shè)計(jì)入口工具、設(shè)計(jì)實(shí)現(xiàn)工具、設(shè)計(jì)驗(yàn)證工具三大部分組成。沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -4-第 2 章 詳細(xì)設(shè)計(jì)方案2.1 功能模塊的設(shè)計(jì)與實(shí)現(xiàn)功能模塊的設(shè)計(jì)與實(shí)現(xiàn)陣列除法器的底層設(shè)計(jì)包括 25 個(gè) cas 模塊,這個(gè) cas 模塊由 2 個(gè)或門、4 個(gè)與門和 3 個(gè)異或門邏輯組合而成。2.1.1 細(xì)胞模塊的

9、設(shè)計(jì)與實(shí)現(xiàn)細(xì)胞模塊的設(shè)計(jì)與實(shí)現(xiàn)(1) 創(chuàng)建細(xì)胞模塊設(shè)計(jì)原理圖。創(chuàng)建細(xì)胞模塊設(shè)計(jì)原理圖。 細(xì)胞模塊原理結(jié)構(gòu)圖如圖 2.1 所示。圖 2.1 細(xì)胞塊邏輯框圖(2)創(chuàng)建元件圖形符號(hào))創(chuàng)建元件圖形符號(hào) 為了能在圖形編輯器中調(diào)用 cas 芯片需要把它封裝,可利 xilinx foundation f3.1 編譯器中的如下步驟實(shí)現(xiàn):tools=symbol wizard=下一步。其中 xin、yin、pin、cin 為四個(gè)輸入信號(hào),yuout、yout、pout、cout 為四個(gè)輸出信號(hào)。用其元件圖形符號(hào)如圖 2.2 所示: 沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -5-圖 2.2 細(xì)胞元件圖形符號(hào)(3)功能仿真)

10、功能仿真對(duì)創(chuàng)建的取補(bǔ)模塊進(jìn)行功能仿真,驗(yàn)證其功能的正確性,可用 xilinx foundation f3.1 編譯器的 simulator 模塊實(shí)現(xiàn)。仿真結(jié)果如圖 2.4 所示:圖 2.3 細(xì)胞模塊仿真結(jié)果表 2.1 細(xì)胞模塊真值表輸入信號(hào)輸出信號(hào)xinyinpincinyuoutyoutpoutcout111101110011001110111011沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -6-111011100010101010100011110111010001100010010001110001010000000010001000將仿真結(jié)果與細(xì)胞模塊的輸入、輸出信號(hào)真值表相對(duì)比可知,細(xì)胞模塊的仿

11、真結(jié)果正確。2.1.2 除法器模塊的設(shè)計(jì)與實(shí)現(xiàn)除法器模塊的設(shè)計(jì)與實(shí)現(xiàn)(1)創(chuàng)建除法器模塊設(shè)計(jì)原理圖。)創(chuàng)建除法器模塊設(shè)計(jì)原理圖。除法器模塊原理結(jié)構(gòu)如圖 2.4 所示:圖 2.54 除法器原理模塊框圖 (2)創(chuàng)建元件圖形符號(hào))創(chuàng)建元件圖形符號(hào)為了能在圖形編輯器中調(diào)用 yang 芯片,需要為除法器模塊創(chuàng)建一個(gè)元件圖沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -7-形符號(hào),可利 xilinx foundation f3.1 編譯器中的如下步驟實(shí)現(xiàn):tools=symbol wizard=下一步。其元件圖形符號(hào)如圖 2.5 所示: 圖 2.5 選擇器元件圖形符號(hào)(3)功能仿真)功能仿真對(duì)除法器模塊進(jìn)行功能仿真,驗(yàn)證

12、其功能的正確性,可用 xilinx foundation f3.1 編譯器的 simulator 模塊實(shí)現(xiàn)。仿真結(jié)果如圖 2.6 所示:沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -8- 圖 2.6 除法器模塊仿真結(jié)果圖(3)功能仿真)功能仿真對(duì)創(chuàng)建的乘數(shù)補(bǔ)碼移位寄存器模塊進(jìn)行功能仿真,驗(yàn)證其功能的正確性,可用 xilinx foundation f3.1 編譯器的 simulator 模塊實(shí)現(xiàn)。仿真結(jié)果如圖 2.10 所示:2.2 仿真調(diào)試仿真調(diào)試仿真調(diào)試主要驗(yàn)證設(shè)計(jì)電路邏輯功能、時(shí)序的正確性,本設(shè)計(jì)中主要采用功能仿真方法對(duì)設(shè)計(jì)的電路進(jìn)行仿真。(1)建立仿真波形文件及仿真信號(hào)選擇)建立仿真波形文件及仿真信

13、號(hào)選擇功能仿真時(shí),首先建立仿真波形文件,選擇仿真信號(hào),對(duì)選定的輸入信號(hào)設(shè)置參數(shù),選定的仿真信號(hào)和設(shè)置的參數(shù)如表 2. 2 所示。沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -9-輸入信號(hào)輸出信號(hào)x00y00s00yu00x11y11s11yu10x20y21s21yu21x31y30s30yu31x41y41s41yu41p1x00y00s00yu01x11y11s11yu11x21y20s20yu20x31y31s30yu30x40y41s41yu41p1表表 2.22.2 仿真信號(hào)相關(guān)參數(shù)表仿真信號(hào)相關(guān)參數(shù)表(2)功能仿真結(jié)果與分析)功能仿真結(jié)果與分析仿真結(jié)果分別如圖 2.6 所示,仿真數(shù)據(jù)結(jié)果如表 2

14、.2 所示。對(duì)比圖 2.6 和表 2.2,多組功能仿真結(jié)果均正確,進(jìn)而說明此電路設(shè)計(jì)的正確性。 沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告 -10-參考文獻(xiàn) 1 曹昕燕. eda 技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì)m.北京:清華大學(xué)出版社,20062 范延濱.微型計(jì)算機(jī)系統(tǒng)原理、接口與 eda 設(shè)計(jì)技術(shù)m.北京:北京郵電大學(xué)出版社,20063 王愛英.計(jì)算機(jī)組成與結(jié)構(gòu)(第三版)m.北京:清華大學(xué)出版社,20064 白中英.計(jì)算機(jī)組成原理(第四版)m.北京:科學(xué)出版社,20095 唐朔飛.計(jì)算機(jī)組成原理(第二版)m.北京:高等教育出版社,20086 江國(guó)強(qiáng).ead 技術(shù)習(xí)題與實(shí)驗(yàn)m.北京:電子工業(yè)出版社,2005沈陽航空航天

15、大學(xué)課程設(shè)計(jì)報(bào)告-11-附 錄(電路原理圖)沈陽航空航天大學(xué)課程設(shè)計(jì)報(bào)告-12- 課程設(shè)計(jì)總結(jié):課程設(shè)計(jì)總結(jié):本次課程設(shè)計(jì),我受益匪淺。當(dāng)拿到課設(shè)題目后,我煩了想當(dāng)然的錯(cuò)誤,樂觀的認(rèn)為,題目很簡(jiǎn)單,原理很簡(jiǎn)單。但在具體實(shí)行時(shí)卻遇到許多困難,對(duì)xilinx foundation f3.1 設(shè)計(jì)軟件的陌生更加阻礙著我的進(jìn)程。于是,我決定塌下心來好好研究原理及軟件的運(yùn)用。用了一天的時(shí)間將軟件中的自帶芯片的功能查詢了一遍,基本找到需要的芯片。之后,又學(xué)習(xí)了對(duì)電路封裝的方法,再后來就能靈活的操作該軟件,這對(duì)整個(gè)電路的設(shè)計(jì)很有幫助。隨后開始將各個(gè)部件連接到一起,這里也充滿學(xué)問,不是單單的組合在一起,而是要綜合考慮整體電路的節(jié)拍,以保證各個(gè)部件有序工作。沒有找到現(xiàn)成的三選一芯片,我只好自己設(shè)計(jì)。設(shè)計(jì)的過程還真是痛苦,頭腦中不斷

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論