




版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 畢業(yè)設(shè)計(jì)基于pld的三層電梯的控制設(shè)計(jì)【摘要】電梯作為現(xiàn)代化的產(chǎn)物在我們的生活之中已必不可少。隨著經(jīng)濟(jì)建設(shè)的高速發(fā)展,我國(guó)電梯需求量越來(lái)越大。由此,一個(gè)更為龐大的電梯市場(chǎng)已經(jīng)在國(guó)內(nèi)轟然形成。本設(shè)計(jì)是基于可編程邏輯器件(pld)技術(shù)中的甚高速集成電路硬件描述語(yǔ)言(vhdl)語(yǔ)言所開發(fā)的三層電梯控制程序。本程序具有vhdl語(yǔ)言設(shè)計(jì)里最為常用的三個(gè)模塊:實(shí)體entity、結(jié)構(gòu)體architecture、進(jìn)程執(zhí)行單元process。通過(guò)程序調(diào)試及運(yùn)行仿真,結(jié)果表明,本程序可以完成:電梯運(yùn)行所在樓層指示、電梯運(yùn)行方向指示、關(guān)門延時(shí)設(shè)置、看門狗報(bào)警、超載報(bào)警、故障報(bào)警等。本設(shè)計(jì)對(duì)更高層的電梯控制設(shè)計(jì)具有
2、一定的拓展性?!娟P(guān)鍵詞】:電梯控制,程序設(shè)計(jì),pld,vhdl abstractthe product as a modern elevator in our lives has been essential.with the sustained and rapid development of economic construction, china's increasing demand for elevators.as a result, a much larger domestic elevator market has formed a bang. this design i
3、s based on programmable logic devices (pld)in a very high speed of the integrated hardware describe language (vhdl) in developing the third floor of the lift of control procedures. this program has vhdl language designed for the best of three modules : entity, architecture, process. through the prog
4、ram run, debug and simulation results show that the application can finish:the floor, in the direction, the delay, the guard dog the police, and failure the police, etc. this design with higher the control of the design. 【key word】:lift control, programmer, pld, vhdl 畢業(yè)設(shè)計(jì)目 錄引言1一、設(shè)計(jì)的基礎(chǔ)依據(jù)1(一)eda技術(shù)介紹1(
5、二)vhdl語(yǔ)言介紹1(三)max+plusii軟件介紹2二、方案論證2(一)基于plc技術(shù)的電梯控制設(shè)計(jì)方案2(二)基于pld技術(shù)的電梯控制設(shè)計(jì)方案2(三)方案選擇3三、程序設(shè)計(jì)3(一)算法分析3(二)程序設(shè)計(jì)6四、程序調(diào)試12五、程序仿真14(一)波形輸入建立14(二)電梯運(yùn)行情況仿真16(三)電梯功能仿真18總結(jié)19附錄一 三層電梯控制的源程序20附錄二 引腳鎖定情況23參考文獻(xiàn)24致謝2528引言我國(guó)部分地區(qū)人口高度密集,人和土地資源短缺的矛盾日趨激化,這就要求人們合理地利用土地去解決人與土地的矛盾。而興建高層建筑是其中的有效措施之一。因此,能使人們快速、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)
6、而生了。其中,三層電梯廣泛應(yīng)用在大型的貨運(yùn)之中,其使用便捷,貨運(yùn)周期短,效率高,成本低,對(duì)貨運(yùn)事業(yè)具有相當(dāng)?shù)慕?jīng)濟(jì)價(jià)值。在客運(yùn)上,三層電梯雖然涉及樓層不高,應(yīng)用范圍不大,但就特殊而言,可以為一些上下樓層不方便的人們提供相當(dāng)?shù)膸椭1驹O(shè)計(jì)希望通過(guò)在簡(jiǎn)單的三層電梯控制設(shè)計(jì)之中,如何解決升、降請(qǐng)求信號(hào)因電梯所處狀態(tài)而產(chǎn)生的沖突等問(wèn)題出發(fā),由此及彼,對(duì)高層電梯控制的開發(fā)作一個(gè)技術(shù)的鋪墊,為高層電梯設(shè)計(jì)者提供一個(gè)基礎(chǔ)。針對(duì)目前中小型電梯所能實(shí)現(xiàn)的功能,本控制設(shè)計(jì)擬實(shí)現(xiàn)以下功能:指示電梯運(yùn)行所在樓層指示電梯運(yùn)行方向關(guān)門延時(shí)設(shè)置看門狗報(bào)警超載報(bào)警故障報(bào)警。一、設(shè)計(jì)的基礎(chǔ)依據(jù)現(xiàn)代電子設(shè)計(jì)技術(shù)的核心是eda技術(shù)。
7、采用eda技術(shù)開發(fā)的三層電梯自動(dòng)控制與目前主流的利用可編程邏輯控制器(pld)實(shí)現(xiàn)電梯控制緊密相連。硬件描述語(yǔ)言是eda技術(shù)的重要組成部分,vhdl是作為電子設(shè)計(jì)主流硬件的描述語(yǔ)言。使用vhdl語(yǔ)言進(jìn)行程序的設(shè)計(jì),在max+plusii軟件上對(duì)程序進(jìn)行編譯、仿真。(一)eda技術(shù)介紹eda技術(shù)是20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(cad)、計(jì)算機(jī)輔助制造(cam)、計(jì)算機(jī)輔助測(cè)試(cat)和計(jì)算機(jī)輔助工程(cae)的概念發(fā)展而來(lái)的。設(shè)計(jì)者可以利用hdl程序來(lái)描述所希望的電路系統(tǒng),規(guī)定其結(jié)構(gòu)性和電路的行為方式然后利用eda工具將此程序變成能控制場(chǎng)效應(yīng)可編程門陣列(fpga)/復(fù)雜可編程邏輯器件(
8、cpld)內(nèi)部結(jié)構(gòu),實(shí)現(xiàn)相應(yīng)邏輯功能的門級(jí)或更底層的結(jié)構(gòu)網(wǎng)表文件和下載文件。就fpga/cpld開發(fā)來(lái)說(shuō),比較常用和流行的hdl主要有abel-hdl和vhdl等?,F(xiàn)代eda技術(shù)的基本特征是采用高級(jí)語(yǔ)言描述,具有系統(tǒng)級(jí)仿真的綜合能力。在現(xiàn)代高新電子產(chǎn)品的設(shè)計(jì)和生產(chǎn)中,微電子技術(shù)和現(xiàn)代電子設(shè)計(jì)技術(shù)是相互促進(jìn)、相互推動(dòng)又相互制約的兩個(gè)技術(shù)環(huán)節(jié)。前者代表了物理層在廣度和深度上硬件電路實(shí)現(xiàn)的發(fā)展,后者則反映了現(xiàn)代先進(jìn)的電子理論、電子技術(shù)、仿真技術(shù)、設(shè)計(jì)工藝和設(shè)計(jì)技術(shù)與最新的計(jì)算機(jī)軟件技術(shù)有機(jī)的融合和升華。因此,嚴(yán)格地說(shuō),eda技術(shù)應(yīng)該是這二者的結(jié)合,是這兩個(gè)技術(shù)領(lǐng)域共同孕育的奇葩。(二)vhdl語(yǔ)言介
9、紹vhdl主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,vhdl的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。vhdl語(yǔ)言能夠成為標(biāo)準(zhǔn)化的硬件描述語(yǔ)言并獲得廣泛應(yīng)用,就在于它有以下優(yōu)點(diǎn):1.vhdl語(yǔ)言功能強(qiáng)大,設(shè)計(jì)方式多樣。 2.vhdl語(yǔ)言具有強(qiáng)大的硬件描述能力。 3.vhdl語(yǔ)言具有很強(qiáng)的移植能力。 4.vhdl語(yǔ)言的設(shè)計(jì)描述與器件無(wú)關(guān)。 由于vhdl語(yǔ)言是一種描述、模擬、綜合、優(yōu)化和布線的標(biāo)準(zhǔn)硬件描述語(yǔ)言,因此它可以使設(shè)計(jì)成果在設(shè)計(jì)人員之間方便地進(jìn)行交流和共享,從而減小硬件電路設(shè)計(jì)的工作量,縮短開發(fā)周期。(三) max+plusii軟件介
10、紹max+plusii是altera公司提供的fpga/cpld開發(fā)集成環(huán)境,其界面友好,使用便捷,被譽(yù)為業(yè)界最易用易學(xué)的eda軟件。它提供了一種與結(jié)構(gòu)無(wú)關(guān)的設(shè)計(jì)環(huán)境,使設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入、快速處理和器件編程。在max+plusii平臺(tái)上進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)過(guò)程一般要經(jīng)過(guò)四個(gè)階段:設(shè)計(jì)輸入、項(xiàng)目編譯、項(xiàng)目校驗(yàn)和器件編程。首先根據(jù)系統(tǒng)的性能指標(biāo)進(jìn)行系統(tǒng)結(jié)構(gòu)設(shè)計(jì),對(duì)整個(gè)系統(tǒng)進(jìn)行功能劃分和模塊劃分。對(duì)各子模塊分別進(jìn)行邏輯設(shè)計(jì)、編譯、仿真與驗(yàn)證。當(dāng)不滿足要求時(shí),重新返回設(shè)計(jì)輸入階段,修改設(shè)計(jì)輸入。之后將優(yōu)化結(jié)果下載到所選可擦寫可編程邏輯器件(epld)芯片中,進(jìn)行動(dòng)態(tài)仿真。最后則是測(cè)試芯片在系統(tǒng)
11、中的實(shí)際運(yùn)行性能。二、方案論證(一)基于plc技術(shù)的電梯控制設(shè)計(jì)方案可編程控制系統(tǒng)是一種專門為在工業(yè)環(huán)境下應(yīng)用而設(shè)計(jì)的數(shù)字運(yùn)算操作電子系統(tǒng)。它采用一種可編程的存儲(chǔ)器,在其內(nèi)部存儲(chǔ)執(zhí)行邏輯運(yùn)算、順序控制、定時(shí)、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,通過(guò)數(shù)字式或模擬式的輸入輸出來(lái)控制各種類型的機(jī)械設(shè)備或生產(chǎn)過(guò)程??删幊炭刂破魇怯?jì)算機(jī)技術(shù)與自動(dòng)化控制技術(shù)相結(jié)合而開發(fā)的一種適用工業(yè)環(huán)境的新型通用自動(dòng)控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的迅猛發(fā)展,可編程控制器更多地具有了計(jì)算機(jī)的功能,不僅能實(shí)現(xiàn)邏輯控制,還具有了數(shù)據(jù)處理、通信、網(wǎng)絡(luò)等功能。由于它可通過(guò)軟件來(lái)改變控制過(guò)程,而且具
12、有體積小、組裝維護(hù)方便、編程簡(jiǎn)單、可靠性高、抗干擾能力強(qiáng)等特點(diǎn),已廣泛應(yīng)用于工業(yè)控制的各個(gè)領(lǐng)域,大大推進(jìn)了機(jī)電一體化的進(jìn)程。plc的特點(diǎn)可綜述如下:1.高可靠性2.編程簡(jiǎn)單,使用方便3.環(huán)境要求低4.體積小,重量輕5.擴(kuò)充方便,組合靈活 (二)基于pld技術(shù)的電梯控制設(shè)計(jì)方案隨著電子技術(shù)的發(fā)展,pld技術(shù)在工業(yè)控制系統(tǒng)中得到了廣泛的應(yīng)用,在電梯控制電路上采用pld技術(shù)進(jìn)行開發(fā),越來(lái)越受到人們的重視。pld技術(shù)開發(fā)手段多樣,其中應(yīng)用最為廣泛的就是通過(guò)程序?qū)τ布M(jìn)行開發(fā),而其中又?jǐn)?shù)vhdl語(yǔ)言最受設(shè)計(jì)者的歡迎。pld技術(shù)使得設(shè)計(jì)者的工作僅限于利用軟件的方式,即利用硬件描述語(yǔ)言和pld軟件來(lái)完成對(duì)系
13、統(tǒng)硬件功能的實(shí)現(xiàn)。近幾年來(lái),硬件描述語(yǔ)言等設(shè)計(jì)數(shù)據(jù)格式的逐步標(biāo)準(zhǔn)化,不同設(shè)計(jì)風(fēng)格和應(yīng)用的要求導(dǎo)致各具特色的pld工具被集成在同一個(gè)工作站上,從而使pld框架日趨標(biāo)準(zhǔn)化。vhdl豐富的仿真語(yǔ)句和庫(kù)函數(shù),使得在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)的功能可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。其行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用功能。vhdl語(yǔ)言的設(shè)計(jì)單元包括實(shí)體(entity)、結(jié)構(gòu)體(architecture)、程序包(package)以及配置(configration)。其具體的流程圖如圖2.1所示。圖2.1 vhdl設(shè)計(jì)流程圖(三)方案選擇對(duì)于符合市場(chǎng)需求的大
14、規(guī)模系統(tǒng),需要有多人,甚至多個(gè)開發(fā)組共同并行工作才能實(shí)現(xiàn)。用pld技術(shù)完成一個(gè)確定的設(shè)計(jì),可以利用相應(yīng)的工具平臺(tái)進(jìn)行邏輯綜合和優(yōu)化,完成設(shè)計(jì)任務(wù)。同時(shí),基于pld技術(shù)的vhdl語(yǔ)言對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,這為電子設(shè)計(jì)的入門者提供了便捷的幫助。就上述比較而言,本設(shè)計(jì)采用pld方案更恰當(dāng)。三、程序設(shè)計(jì)(一)算法分析1.電梯運(yùn)行規(guī)則(1)請(qǐng)求信號(hào)分析本設(shè)計(jì)把電梯的請(qǐng)求信號(hào)分為上升請(qǐng)求和下降請(qǐng)求,電梯接收到請(qǐng)求信號(hào)后,都必須進(jìn)行預(yù)操作。當(dāng)電梯所在樓層低于發(fā)出梯外請(qǐng)求的樓層或者低于梯內(nèi)請(qǐng)求所要到達(dá)的目的樓層時(shí),電梯必須在下一操作中作出上升運(yùn)行,這時(shí)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。反之,則是下降請(qǐng)求信號(hào)。
15、(2)電梯處于各樓層時(shí)的運(yùn)行說(shuō)明處于一樓時(shí),不管是梯內(nèi)梯外,電梯只接收上升的請(qǐng)求信號(hào)。此時(shí),電梯就進(jìn)入預(yù)上升狀態(tài)。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則在一樓待機(jī)。其分析圖如圖3.1所示。圖3.1電梯處于一樓時(shí)的運(yùn)行分析處于二樓時(shí),電梯則可能出現(xiàn)三種情況: 電梯并沒(méi)有接收到梯內(nèi)梯外的任何請(qǐng)求信號(hào)時(shí),電梯返回一樓待機(jī); 電梯接收到上升請(qǐng)求信號(hào),進(jìn)入預(yù)上升狀態(tài); 電梯接收到下降請(qǐng)求信號(hào),進(jìn)入預(yù)下降狀態(tài)。其分析圖如圖3.2所示。圖3.2 電梯處于二樓時(shí)的運(yùn)行分析處于三樓時(shí),不管是梯內(nèi)梯外,電梯都只可能接收到下降的請(qǐng)求信號(hào)。此時(shí),電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則返回
16、一樓待機(jī)。其分析圖如圖3.3所示。圖3.3 電梯處于三樓時(shí)的運(yùn)行分析電梯是一個(gè)人機(jī)交互式的控制系統(tǒng),單純用順序優(yōu)先或邏輯優(yōu)先是不能滿足控制要求的,因此,控制系統(tǒng)采用隨機(jī)邏輯方式去解決信號(hào)優(yōu)先的問(wèn)題。也就是在順序邏輯控制的基礎(chǔ)上,根據(jù)隨機(jī)的輸入信號(hào)和電梯的相應(yīng)狀態(tài),實(shí)時(shí)地控制電梯運(yùn)行。比如,當(dāng)電梯在三樓時(shí),二樓、一樓梯外均有上升請(qǐng)求信號(hào):電梯以向下的方向接近二樓的減速位置,判別二樓具有同向的請(qǐng)求信號(hào),在二樓減速停止載客,然后繼續(xù)下降到一樓載客。當(dāng)電梯在三樓時(shí),梯內(nèi)有到達(dá)一樓的下降請(qǐng)求信號(hào),而二樓有上升請(qǐng)求信號(hào):當(dāng)電梯以向下的方向接近二樓的減速位置時(shí),判別二樓具有反向的請(qǐng)求信號(hào),系統(tǒng)將把二樓的上升
17、請(qǐng)求保存到上升請(qǐng)求寄存上,不在二樓作停泊繼續(xù)下降到一樓去載客,然后讀取上升請(qǐng)求寄存中先前二樓的上升請(qǐng)求,執(zhí)行上升到二樓載客的操作。該動(dòng)作完畢后,被保存的寄存器中的數(shù)據(jù)清除,以實(shí)現(xiàn)下一次隨機(jī)邏輯控制。(3)對(duì)電梯開門、關(guān)門、報(bào)警等內(nèi)部信號(hào)處理的分析。當(dāng)電梯接收到請(qǐng)求信號(hào)后,將以隨機(jī)邏輯控制的方式到達(dá)發(fā)出請(qǐng)求的樓層。開門使能信號(hào)促使電梯開門載客,同時(shí)驅(qū)動(dòng)關(guān)門延時(shí)信號(hào)、超重檢測(cè)以及故障檢測(cè)。在電梯進(jìn)行完關(guān)門倒數(shù)計(jì)時(shí)、超重排除以及故障排除后,關(guān)門使能信號(hào)將促使電梯關(guān)門進(jìn)入預(yù)操作狀態(tài)。如果此前出現(xiàn)提前關(guān)門信號(hào)而且電梯也已經(jīng)進(jìn)行完超重排除和故障排除,電梯同樣關(guān)門進(jìn)入預(yù)操作狀態(tài)。電梯在超重檢測(cè)時(shí)發(fā)現(xiàn)超重,關(guān)
18、門中斷信號(hào)會(huì)促使電梯發(fā)出超重警報(bào)并且進(jìn)行開門操作以減少乘客,重新進(jìn)入載客操作電梯在故障檢測(cè)時(shí),發(fā)現(xiàn)電梯某部分出現(xiàn)故障,關(guān)門中斷信號(hào)會(huì)促使電梯發(fā)出故障報(bào)警,并進(jìn)入開門操作的同時(shí)停止關(guān)門延時(shí),作故障處理待機(jī)。2.程序流程根據(jù)vhdl語(yǔ)言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。實(shí)體對(duì)控制器的端口進(jìn)行定義,結(jié)構(gòu)體對(duì)各端口的行為進(jìn)行描述。因此程序運(yùn)行需經(jīng)過(guò)以下流程: vhdl庫(kù)調(diào)用確立控制器的端口及相關(guān)的寄存器根據(jù)電梯運(yùn)行規(guī)則,設(shè)計(jì)相關(guān)運(yùn)行描述對(duì)電梯內(nèi)外信號(hào)進(jìn)行處理。具體流程圖如圖3.4所示。圖3.4 流程圖(二)程序設(shè)計(jì)1.端口設(shè)計(jì)本設(shè)計(jì)的端口包括:時(shí)鐘信號(hào)(clk)超載信號(hào)(full)、關(guān)門中斷
19、信號(hào)(deng)、提前關(guān)門信號(hào)(quick)、清除報(bào)警信號(hào)(clr)一樓電梯外人的上升請(qǐng)求信號(hào)(c_u1)、二樓電梯外人的上升請(qǐng)求信號(hào)(c_u2)二樓電梯外人的下降請(qǐng)求信號(hào)(c_d2)、三樓電梯外人的下降請(qǐng)求信號(hào)(c_d3)電梯內(nèi)人請(qǐng)求到達(dá)一樓信號(hào)(d1)、電梯內(nèi)人請(qǐng)求到達(dá)二樓信號(hào)(d2)、電梯內(nèi)人請(qǐng)求到達(dá)三樓信號(hào)(d3)、到達(dá)一樓信號(hào)(g1)、到達(dá)二樓信號(hào)(g2)、到達(dá)三樓信號(hào)(g3)電梯門控制信號(hào)(door)電梯所在樓層顯示(led)電梯外人上升請(qǐng)求信號(hào)顯示(led_c_u)、電梯外人下降請(qǐng)求信號(hào)顯示(led_c_d)電梯內(nèi)請(qǐng)求信號(hào)顯示(led_d)看門狗報(bào)警信號(hào)(wahaha)、超載警告
20、信號(hào)(alarm)電梯運(yùn)動(dòng)方向顯示(ud)電機(jī)向上控制信號(hào)(up)、電機(jī)向下控制信號(hào)(down)。2.寄存器設(shè)計(jì)本設(shè)計(jì)的寄存器包括:電梯內(nèi)人請(qǐng)求到達(dá)一樓信號(hào)寄存(d11)、電梯內(nèi)人請(qǐng)求到達(dá)二樓信號(hào)寄存(d22)、 電梯內(nèi)人請(qǐng)求到達(dá)三樓信號(hào)寄存(d33)一樓電梯外人上升請(qǐng)求信號(hào)寄存(c_u11)、二樓電梯外人上升請(qǐng)求信號(hào)寄存(c_u22)二樓電梯外人下降請(qǐng)求信號(hào)寄存(c_d22)、三樓電梯外人下降請(qǐng)求信號(hào)寄存(c_d33)分頻信號(hào)(q)關(guān)門延時(shí)計(jì)數(shù)器(q1)、看門狗計(jì)數(shù)器(q2)電梯內(nèi)請(qǐng)求信號(hào)寄存器(dd)電梯外上升請(qǐng)求信號(hào)寄存器(cc_u)、電梯外下降請(qǐng)求信號(hào)寄存器(cc_d)電梯請(qǐng)求信號(hào)寄存
21、器(dd_cc)開門使能信號(hào)(opendoor)電梯運(yùn)動(dòng)方向信號(hào)寄存器(updown)預(yù)備上升操作使能(en_up)、預(yù)備下降預(yù)操作使能(en_dw)。3.模塊設(shè)計(jì)本程序由調(diào)用vhdl庫(kù)模塊、實(shí)體設(shè)計(jì)模塊和結(jié)構(gòu)體設(shè)計(jì)模塊組成。在結(jié)構(gòu)體模塊中又內(nèi)嵌有進(jìn)程執(zhí)行單元。(1)調(diào)用vhdl庫(kù)通過(guò)library語(yǔ)句,本程序應(yīng)用了vhdl庫(kù)中的“通用ieee庫(kù)”和“標(biāo)準(zhǔn)std庫(kù)”。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;(2)entity實(shí)體設(shè)計(jì)
22、模塊entity dianti is port ( clk : in std_logic; full,deng,quick,clr : in std_logic; c_u1,c_u2: in std_logic; c_d2,c_d3: in std_logic; d1,d2,d3: in std_logic; g1,g2,g3: in std_logic; door : out std_logic_vector(1 downto 0); led : out std_logic_vector(3 downto 0); led_c_u:out std_logic_vector(2 downto 0
23、); led_c_d:out std_logic_vector(2 downto 0); led_d : out std_logic_vector(2 downto 0); wahaha : out std_logic; ud,alarm : out std_logic; up,down : out std_logic ); end dianti;實(shí)體語(yǔ)句用關(guān)鍵詞entity開頭,本程序以dianti(電梯的漢語(yǔ)拼音)作為所要描述的實(shí)體名,在結(jié)束實(shí)體語(yǔ)句的時(shí)候使用end dianti。在entity語(yǔ)句的實(shí)體說(shuō)明部分,用port附語(yǔ)描述實(shí)體對(duì)外界連接的端口(數(shù)目、方向和數(shù)據(jù)類型),實(shí)體dian
24、ti有25個(gè)接口,輸入模式是clk/full/deng/quick/clr/c_u1/c_u2/c_d2/c_d3/d1/d2/d3/g1/g2/g3,其余都是輸出模式,其中door/led/led c_u/led c_d/led d是帶數(shù)組模式的輸出接口。(3)architecture結(jié)構(gòu)化設(shè)計(jì)模塊和process進(jìn)程執(zhí)行單元dianti符號(hào)下的線路圖描述dianti網(wǎng)表,與線路圖對(duì)應(yīng)的vhdl architecture就是結(jié)構(gòu)體,實(shí)體dianti以結(jié)構(gòu)化與行為化描述的結(jié)構(gòu)體如下:architecture behav of dianti issignal d11,d22,d33:std_lo
25、gic; signal c_u11,c_u22:std_logic; signal c_d22,c_d33:std_logic; signal q:integer range 0 to 1; signal q1:integer range 0 to 6; signal q2:integer range 0 to 9; signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0); signal opendoor:std_logic; signal updown:std_logic; signal en_up,en_dw:std_logic; be
26、gin com:process(clk) end behav;本模塊以關(guān)鍵字architecture做結(jié)構(gòu)體的開頭,描述dianti實(shí)體的結(jié)構(gòu)體behav。architectecture和begin之間是結(jié)構(gòu)體說(shuō)明區(qū),詳細(xì)定義了各種信號(hào)端的模式和取值范圍begin和end之間是結(jié)構(gòu)體語(yǔ)句區(qū),在此將嵌入process進(jìn)程語(yǔ)句去對(duì)實(shí)體行為作出說(shuō)明。com:process(clk)beginif clk'event and clk='1' then if clr='1' then q1<=0;q2<=0;wahaha<='0'
27、; elsif full='1' then alarm<='1'; q1<=0; if q1>=3 then door<="10"; else door<="00";end if; dd<=d33&d22&d11; cc_u<='0'&c_u22&c_u11; cc_d<=c_d33&c_d22&'0'; dd_cc<=dd or cc_u or cc_d; end if; ud<=u
28、pdown; led_d<=dd; led_c_u<=cc_u; led_c_d<=cc_d; end if; end process; 關(guān)鍵字process后面括號(hào)中的信號(hào)為時(shí)鐘信號(hào),它列舉可激活進(jìn)程語(yǔ)句的信號(hào),唯有這些信號(hào)上的事件能引起進(jìn)程語(yǔ)句執(zhí)行。關(guān)鍵字begin把進(jìn)程語(yǔ)句分為進(jìn)程說(shuō)明和進(jìn)程語(yǔ)句兩部分。進(jìn)程說(shuō)明在時(shí)鐘信號(hào)和begin之間,說(shuō)明局部變量或某些僅在進(jìn)程內(nèi)部用的內(nèi)容。進(jìn)程語(yǔ)句部分在begin和end process之間,進(jìn)程內(nèi)的所有語(yǔ)句都是按順序一個(gè)接一個(gè)執(zhí)行的。3. 具體語(yǔ)句設(shè)計(jì)說(shuō)明(1)賦值符號(hào)上文已說(shuō)明了構(gòu)成vhdl程序的兩大部分實(shí)體和結(jié)構(gòu)體的相關(guān)語(yǔ)句。
29、在這些語(yǔ)句里,賦值語(yǔ)句占了相當(dāng)一部分。在vhdl語(yǔ)言里,賦值符號(hào)一般都是“<=”符號(hào),具體形式如下:beginif clk'event and clk='1' then if clr='1' then q1<=0;q2<=0;wahaha<='0'; elsif full='1' then alarm<='1'; q1<=0; if q1>=3 then door<="10"; else door<="00"; e
30、nd if; vhdl語(yǔ)言也具有與一般編程語(yǔ)言相同的一些語(yǔ)言邏輯結(jié)構(gòu),如上述中的“ifthen;elsifthen;”等。這是vhdl中的順序語(yǔ)句,與我們常見的c語(yǔ)言中if作為條件語(yǔ)句不同。本程序只使用到上述的語(yǔ)言結(jié)構(gòu),相對(duì)簡(jiǎn)易。(2)電梯信號(hào)定義在實(shí)體模塊中,對(duì)電梯的主要信號(hào)都一一作出了定義,具體說(shuō)明如下:port ( clk : in std_logic; 時(shí)鐘信號(hào)full,deng,quick,clr : in std_logic; 超載、關(guān)門中斷、提前關(guān)門清除報(bào)警信號(hào) c_u1,c_u2: in std_logic; 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3: in std_logi
31、c; 電梯外人的下降請(qǐng)求信號(hào) d1,d2,d3 : in std_logic; 電梯內(nèi)人的請(qǐng)求信號(hào) g1,g2,g3 : in std_logic; 到達(dá)樓層信號(hào) door : out std_logic_vector(1 downto 0); 電梯門控制信號(hào) led : out std_logic_vector(6 downto 0); 電梯所在樓層顯示 led_c_u:out std_logic_vector(2 downto 0); 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(2 downto 0); 電梯外人下降請(qǐng)求信號(hào)顯示 led_d : ou
32、t std_logic_vector(2 downto 0); 電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha : out std_logic; 看門狗報(bào)警信號(hào) ud,alarm : out std_logic; 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào)up,down : out std_logic ); 電機(jī)控制信號(hào)和電梯運(yùn)動(dòng)在結(jié)構(gòu)體中對(duì)電梯的運(yùn)行行為作出描述,其中以電梯處于二樓的情況相對(duì)復(fù)雜,對(duì)此作如下說(shuō)明:elsif g2='1' then led<="0010010"; 電梯到達(dá)2樓,數(shù)碼管顯示2if updown='1' then 電梯前一運(yùn)動(dòng)狀態(tài)位
33、上升 if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1'; 有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc>"011" then en_up<='1'; opendoor<='0'; 有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) elsif dd_cc<"010" then en_dw<='1'; op
34、endoor<='0'; 有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) end if; 電梯前一運(yùn)動(dòng)狀態(tài)為下降 elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1';有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài)elsif dd_cc<"010" then en_dw<='1'; opendoor<='0'; 有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) el
35、sif dd_cc>"011" then en_up<='1'; opendoor<='0'; 有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) end if;在上述語(yǔ)句中的“elsif g2='1' then led<="0010010"; ”,led的賦值是根據(jù)七段式數(shù)字顯示器的發(fā)光段排列的,可見發(fā)光段是a、b、d、e、g段時(shí),形成一個(gè)2字。所以在一樓時(shí)led賦值為“1001111”,三樓時(shí)led賦值為“0000110”,如圖3.5所示。圖3.5 七段數(shù)字顯示器(3)請(qǐng)求信號(hào)處理在進(jìn)程執(zhí)行單元
36、里,對(duì)電梯在樓層時(shí)的操作情況作出了描述,例如:開門、關(guān)門延時(shí)、超載報(bào)警、故障報(bào)警以及電梯內(nèi)的請(qǐng)求信號(hào)處理,具體說(shuō)明如下:com:process(clk)beginif clk'event and clk='1' then if clr='1' then q1<=0;q2<=0;wahaha<='0'; 清除故障報(bào)警 elsif full='1' then alarm<='1'; q1<=0; 超載報(bào)警if q1>=3 then door<="10"
37、;; else door<="00"; end if; elsif q=1 then q<=0;alarm<='0'; if q2=3 then wahaha<='1'; 故障報(bào)警 elseif opendoor='1' then door<="10";q1<=0;q2<=0;up<='0';down<='0'; 開門操作elsif en_up='1' then 上升預(yù)操作 if deng='1
38、39; then door<="10";q1<=0;q2<=q2+1; 關(guān)門中斷elsif quick='1' then q1<=3; 提前關(guān)門elsif q1=6 then door<="00";updown<='1';up<='1'; 關(guān)門完畢,電梯進(jìn)入上升狀態(tài)elsif q1>=3 then door<="01";q1<=q1+1; 電梯進(jìn)入關(guān)門狀態(tài)else q1<=q1+1;door<="00&qu
39、ot;; 電梯進(jìn)入等待狀態(tài)end if;elsif en_dw='1' then 下降預(yù)操作if deng='1' then door<="10";q1<=0;q2<=q2+1; elsif quick='1' then q1<=3; elsif q1=6 then door<="00";updown<='0';down<='1'; elsif q1>=3 then door<="01";q1<=
40、q1+1; else q1<=q1+1;door<="00"; end if;end if; ···else q<=1;alarm<='0'; 清除超載報(bào)警if d1='1' then d11<=d1; 對(duì)電梯內(nèi)人請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存 elsif d2='1' then d22<=d2;elsif d3='1' then d33<=d3; end if;if c_u1='1' then c_u11<=c_u1; 對(duì)電
41、梯外人上升請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存elsif c_u2='1' then c_u22<=c_u2; end if; if c_d2='1' then c_d22<=c_d2; 對(duì)電梯外人下降請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存elsif c_d3='1' then c_d33<=c_d3; end if; dd<=d33&d22&d11; 電梯內(nèi)人請(qǐng)求信號(hào)并置 cc_u<='0'&c_u22&c_u11; 電梯外人上升請(qǐng)求信號(hào)并置 cc_d<=c_d33&c_d22&am
42、p;'0'; 電梯外人下降請(qǐng)求信號(hào)并置 dd_cc<=dd or cc_u or cc_d; 電梯內(nèi)、外人請(qǐng)求信號(hào)進(jìn)行綜合 end if; ud<=updown; 電梯運(yùn)動(dòng)狀態(tài)顯示 led_d<=dd; 電梯內(nèi)人請(qǐng)求信號(hào)顯示led_c_u<=cc_u; 電梯外人上升請(qǐng)求信號(hào)顯示led_c_d<=cc_d; 電梯外人下降請(qǐng)求信號(hào)顯示end if; 四、程序調(diào)試1.建立工作庫(kù)目錄打開max+plusii軟件,其初始界面如圖4.1所示。圖4.1 max+plusii軟件初始界面2. 新建文本編輯文件在工具欄中選擇“新建”按鈕。在選擇輸入路徑時(shí),選擇“te
43、xt editor file”以進(jìn)行文本輸入,如圖4.2所示。圖4.2 輸入路徑選擇為文本輸入3.文本輸入在文本輸入界面內(nèi)進(jìn)行程序輸入,如圖4.3所示。圖4.3 程序輸入界面4.程序保存輸入完畢后,對(duì)程序進(jìn)行保存。文件名和實(shí)體定義的符號(hào)必須保持一致,即文件名為dianti。因?yàn)槭鞘褂胿hdl語(yǔ)言,所以文件后綴名須改成vhd,如圖4.4所示。由于文件后綴名都默認(rèn)為tdf,在初次調(diào)試時(shí)并沒(méi)有注意這個(gè)問(wèn)題,結(jié)果在編譯的時(shí)候老是出錯(cuò)。圖4.4 文本輸入保存界面5. 設(shè)置頂層文件在編譯前,需要把文件設(shè)置為頂層文件,或稱工程文件project。選擇菜單“file”“set project to curre
44、nt file”,當(dāng)前的文件即被指定。如圖4.5所示。圖4.5 設(shè)置頂層文件6. 程序編譯在“max+plusii”下拉按鈕里選擇”compiler”,對(duì)程序進(jìn)行編譯。此時(shí),max+plusii軟件會(huì)對(duì)程序進(jìn)行糾錯(cuò)等處理。當(dāng)程序被確認(rèn)無(wú)誤以后會(huì)出現(xiàn)圖4.6的界面。圖4.6編譯完成 在編譯完成以后,程序的調(diào)試結(jié)束。五、程序仿真(一)波形輸入建立1. 新建波形編輯器編譯完全通過(guò)后,新建波形編輯器進(jìn)行設(shè)計(jì)仿真。通過(guò)“新建”按鈕,選擇“waveform editor file”,如圖5.1所示。圖5.1 新建波形編輯器2.保存文件新建波形編輯器后,對(duì)文件進(jìn)行保存。同樣是使用相同的文件名dianti,后
45、綴則改為scf。3. 添加節(jié)點(diǎn)信號(hào)在編輯器的name欄點(diǎn)擊鼠標(biāo)右鍵,選擇“enter nodes from snf”選擇添加需要觀察的節(jié)點(diǎn)信號(hào)。如圖5.2所示。圖5.2 添加節(jié)點(diǎn)信號(hào)4. 對(duì)輸入信號(hào)賦值通過(guò)編輯器左側(cè)的一些快捷按鈕對(duì)所加節(jié)點(diǎn)中的輸入信號(hào)進(jìn)行相關(guān)的賦值后,就可對(duì)程序進(jìn)行仿真,觀察輸出信號(hào),得出結(jié)論。如對(duì)時(shí)鐘信號(hào)clk賦周期為25ms的周期信號(hào),如圖5.3所示。圖5.3 對(duì)clk信號(hào)賦值圖5.時(shí)間調(diào)整在進(jìn)行仿真前,還需對(duì)仿真截止時(shí)間和最小單位時(shí)間進(jìn)行一下調(diào)整。在“file”欄對(duì)截止時(shí)間“end time”設(shè)置為“10s”,在“options”欄的“grid size”設(shè)置為“20n
46、s”。如圖5.4和圖5.5所示。 圖5.4 截止時(shí)間設(shè)置圖 圖5.5 單位時(shí)間設(shè)置(二)電梯運(yùn)行情況仿真本設(shè)計(jì)的運(yùn)行情況比較復(fù)雜,本文主要介紹以下兩種情況。1.電梯在不同時(shí)段接收到請(qǐng)求信號(hào)。電梯處于一樓,接收到三樓的梯外下降請(qǐng)求(c_d3),于是電梯運(yùn)行到三樓,中途經(jīng)過(guò)二樓時(shí)不作停頓。到達(dá)三樓載客后,電梯內(nèi)又接收到下降到一樓的梯內(nèi)請(qǐng)求(d1),于是電梯返回一樓待機(jī)。仿真情況如圖5.6所示。觀察以下信號(hào):up、ud、down、led、led_c_d、led_d。圖5.6 電梯在不同時(shí)段接收到請(qǐng)求信號(hào)說(shuō)明:(1)up:在電梯接收到c_d3后,賦值為1,表示電梯受控制處于上升過(guò)程,當(dāng)電梯運(yùn)行到三樓以
47、后,賦值變?yōu)?。(2)ud:從電梯接收c_d3到電梯接收d1為止,賦值為1,表示電梯此時(shí)向上運(yùn)行,其余時(shí)間段都為0,表示電梯此時(shí)向下運(yùn)行或者待機(jī)。(3)down:在電梯接收到d1后,賦值為1,表示電梯受控制處于下降過(guò)程,當(dāng)電梯運(yùn)行到一樓以后,賦值變?yōu)?。(4)led:電梯每運(yùn)行到達(dá)一層時(shí),都會(huì)有不同的信號(hào)輸出: 1001111、0010010、0000110。這些就是樓層指示,依次代表1、2、3。(5)led_c_d:在電梯接收到c_d3后,賦值樓層編碼為100,表示梯外有人請(qǐng)求下降,電梯運(yùn)行到達(dá)請(qǐng)求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?00,表示下降請(qǐng)求得到滿足。(6)led_d:在電梯接收到
48、d1后,賦值為001,表示梯內(nèi)請(qǐng)求到達(dá)樓層編碼為001,即一樓電梯運(yùn)行到達(dá)請(qǐng)求目的樓層一樓后,電梯賦值變?yōu)?00,表示請(qǐng)求樓層得到滿足或在待機(jī)。2.電梯在同一時(shí)間段接收不同的請(qǐng)求信號(hào)。電梯停在一樓,在同一時(shí)間段里接受到三個(gè)請(qǐng)求信號(hào):一樓梯內(nèi)上升到三樓的請(qǐng)求(d3)、二樓的梯外上升請(qǐng)求(c_u2)和三樓的梯外下降請(qǐng)求(c_d3)。電梯到達(dá)二樓,載客繼續(xù)上升。電梯上升到三樓時(shí),響應(yīng)請(qǐng)求c_d3,開門載客進(jìn)入預(yù)備下降狀態(tài)。由于二樓并沒(méi)有請(qǐng)求,所以電梯不作停頓地下降到一樓。電梯執(zhí)行完所有請(qǐng)求時(shí)電梯將停在一樓待機(jī)。仿真情況如圖5.7所示。觀察以下信號(hào):up、ud、down、led_c_u、led_c_d
49、、led_d。圖5.7電梯在同一時(shí)間段接收不同的請(qǐng)求信號(hào)說(shuō)明:(1)up:在電梯接收到請(qǐng)求信號(hào)后,賦值為1,表示電梯受控制處于上升過(guò)程,當(dāng)電梯運(yùn)行到二樓以后,賦值短暫變0,然后又變?yōu)?,表示電梯在二樓載客完畢后又進(jìn)入上升過(guò)程,到達(dá)三樓后,賦值為0。(2)ud:從電梯接收請(qǐng)求信號(hào)后到電梯運(yùn)行到三樓為止,賦值為1,表示電梯此時(shí)向上運(yùn)行,其余時(shí)段都為0,表示電梯此時(shí)向下運(yùn)行或者待機(jī)。(3)down:在電梯到達(dá)三樓后,賦值為1,表示電梯受控制處于下降過(guò)程,當(dāng)電梯運(yùn)行到一樓以后,賦值變?yōu)?。(4)led_c_u:在電梯接收到c_u2后,賦值樓層編碼為010(二樓),表示二樓梯外有人請(qǐng)求上升,電梯運(yùn)行到達(dá)
50、請(qǐng)求發(fā)出的樓層(二樓)后,電梯賦值變?yōu)?00,表示上升請(qǐng)求得到滿足或在待機(jī)。(5)led_c_d:在電梯接收到c_d3后,賦值樓層編碼為100,表示梯外有人請(qǐng)求下降,電梯運(yùn)行到達(dá)請(qǐng)求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?00,表示下降請(qǐng)求得到滿足或在待機(jī)。(6)led_d:在電梯接收到d3后,賦值為100,表示梯內(nèi)請(qǐng)求到達(dá)樓層編碼為100(三樓),電梯運(yùn)行到達(dá)請(qǐng)求目的樓層(三樓)后,電梯賦值變?yōu)?00,表示請(qǐng)求樓層得到滿足或在待機(jī)。(三)電梯功能仿真電梯的功能包括:提前關(guān)門、看門狗報(bào)警、超載報(bào)警、故障報(bào)警。設(shè)電梯到達(dá)三樓時(shí),響應(yīng)請(qǐng)求(c_d3),開門載客進(jìn)入預(yù)備下降狀態(tài)。電梯在預(yù)備下降狀態(tài)下,電
51、梯如果超載(full='1'),發(fā)出超載警報(bào);超載信號(hào)消失(full='0'),電梯重新進(jìn)入預(yù)備下降狀態(tài)。電梯接受到deng、c_d3和d3電梯重新進(jìn)入預(yù)備下降狀態(tài),并且c_d3和d3信號(hào)都可以對(duì)q2(q2<3時(shí))進(jìn)行清零處理。當(dāng)連續(xù)關(guān)門中斷的次數(shù)超過(guò)3次時(shí),不認(rèn)為是出自乘客的需要,而認(rèn)為是故障,并報(bào)警,等技術(shù)員處理完故障時(shí),用clr信號(hào)才可以清除報(bào)警。仿真情況如下圖5.8所示。觀察以下信號(hào):wahaha、alarm、quick。圖5.8 功能仿真圖說(shuō)明:(1)wahaha:當(dāng)關(guān)門中斷信號(hào)deng初次賦值為1時(shí),本信號(hào)就賦值為1,一直到故障清除,信號(hào)clr
52、賦值為1時(shí)才變?yōu)?。這表示當(dāng)電梯首次關(guān)門失敗后(即deng首次賦值為1時(shí)),電梯便一直處于故障報(bào)警狀態(tài)下,直到技術(shù)員處理完故障后,用clr才可以清除其報(bào)警。(2)alarm:跟隨超重信號(hào)full的賦值變化而變化。當(dāng)電梯超重時(shí),超重信號(hào)就進(jìn)行報(bào)警。(3)quick:電梯接受到提前關(guān)門信號(hào)quick,電梯跳過(guò)關(guān)門等待時(shí)間。q1信號(hào)從1 跳到3,進(jìn)入關(guān)門狀態(tài)。總結(jié)通過(guò)本次畢業(yè)設(shè)計(jì),為我以后在這方面的深入學(xué)習(xí)打下基礎(chǔ),讓我更深刻的體會(huì)到“學(xué)以致用”的道理。同時(shí)也提高了我解決實(shí)際問(wèn)題的能力,培養(yǎng)自我創(chuàng)新意識(shí)。在設(shè)計(jì)中我必須首先熟悉和掌握max plus的設(shè)計(jì)流程和工作原理,pld技術(shù)及用vhdl語(yǔ)言設(shè)計(jì)
53、程序的能力和對(duì)于三層電梯的控制源程序要進(jìn)行深入的了解。通過(guò)這次畢業(yè)設(shè)計(jì),無(wú)論從選題到定稿,從理論到實(shí)踐都使我學(xué)到了很多東西,它不僅可以鞏固以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上所沒(méi)有學(xué)到過(guò)的知識(shí)。同時(shí)也明白了理論與實(shí)踐相結(jié)合的重要性,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。附錄一 三層電梯控制的源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_ari
54、th.all;entity dianti is port ( clk : in std_logic; full,deng,quick,clr : in std_logic; c_u1,c_u2: in std_logic; c_d2,c_d3: in std_logic; d1,d2,d3: in std_logic; g1,g2,g3: in std_logic; door : out std_logic_vector(1 downto 0); led : out std_logic_vector(6 downto 0); led_c_u:out std_logic_vector(2 downto 0); led_c_d:out std_logic_vector(2 downto 0); led_d : out std_logic_vector(2 downto 0); wahaha : out std_logic; u
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2020-2021深圳開元芙蓉學(xué)校小學(xué)三年級(jí)數(shù)學(xué)下期中一模試題及答案
- 智能倉(cāng)施工方案
- 個(gè)人委托門面出租合同范例
- 出售銀行崗位合同范本
- 提升圖書館數(shù)字資源利用的措施計(jì)劃
- 口腔科工作總結(jié)與服務(wù)質(zhì)量提升計(jì)劃
- 二年級(jí)語(yǔ)文下冊(cè) 課文1 語(yǔ)文園地一第1課時(shí)教學(xué)實(shí)錄 新人教版
- 總結(jié)品牌的成功與教訓(xùn)計(jì)劃
- 品牌傳播中的視覺(jué)傳達(dá)計(jì)劃
- 《四川省沐川縣周家灣銅礦普查實(shí)施方案》評(píng)審意見書
- 中醫(yī)理療館路演
- 產(chǎn)后腹直肌分離治療
- 【責(zé)任清單】醫(yī)院系統(tǒng)紀(jì)檢監(jiān)察責(zé)任清單
- 肛門墜脹與治療
- 申菱單元式空調(diào)機(jī)樣本
- 2024年職業(yè)技能互聯(lián)網(wǎng)營(yíng)銷師操作知識(shí)考試題庫(kù)與答案
- 第六章-1八綱辨證
- 《統(tǒng)計(jì)計(jì)算》課程教學(xué)大綱
- 網(wǎng)絡(luò)平臺(tái)運(yùn)營(yíng)合同三篇
- 如何自制固定翼航模
- 軟件項(xiàng)目測(cè)試實(shí)施方案
評(píng)論
0/150
提交評(píng)論