基于vhdl語(yǔ)言的智能空調(diào)控制器的設(shè)計(jì)報(bào)告_第1頁(yè)
基于vhdl語(yǔ)言的智能空調(diào)控制器的設(shè)計(jì)報(bào)告_第2頁(yè)
基于vhdl語(yǔ)言的智能空調(diào)控制器的設(shè)計(jì)報(bào)告_第3頁(yè)
基于vhdl語(yǔ)言的智能空調(diào)控制器的設(shè)計(jì)報(bào)告_第4頁(yè)
基于vhdl語(yǔ)言的智能空調(diào)控制器的設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩16頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字系統(tǒng)設(shè)計(jì)與硬件描述語(yǔ)言期末考試作業(yè)題目: 智能空調(diào)控制器的設(shè)計(jì) 學(xué)院: 電子信息工程學(xué)院 專業(yè): 電子信息工程 學(xué)號(hào): 3012204273 姓名: 王歡 2015-01-09一、 選題設(shè)計(jì)描述1. 功能介紹對(duì)于這次的期末課程作業(yè),我選擇的是智能空調(diào)控制器的設(shè)計(jì)。眾所周知,日常使用的空調(diào)至少具有定時(shí)、控溫、模式選擇等基本功能。我設(shè)計(jì)的這個(gè)智能空調(diào)主要能實(shí)現(xiàn)以下幾種功能:四種模式選擇:強(qiáng)風(fēng)、弱風(fēng)、自然風(fēng)、睡眠狀態(tài)。工作時(shí)間設(shè)定:半小時(shí)、一小時(shí)、一個(gè)半小時(shí)、兩小時(shí)。工作溫度設(shè)置:可以自由設(shè)置溫度,然后將室溫與設(shè)置的溫度進(jìn)行比較,當(dāng)室溫低于設(shè)置的溫度時(shí),空調(diào)溫度升高;當(dāng)室溫高于設(shè)置的溫度時(shí),空調(diào)

2、溫度下降;并且當(dāng)室溫達(dá)到設(shè)置的溫度時(shí),溫度就不再變化。 2. 算法簡(jiǎn)介 按照上述的幾種功能介紹,我將分幾個(gè)模塊依次介紹: 模式選擇模塊:因?yàn)橛?種模式,所以用一個(gè)4路數(shù)據(jù)選擇器就可以實(shí)現(xiàn)?!?0”、“01”、“10”、“11”分別代表“強(qiáng)風(fēng)”、“弱風(fēng)”、“自然風(fēng)”、“睡眠狀態(tài)”。 時(shí)間設(shè)置模塊:半個(gè)小時(shí)即三十分鐘,可以用一個(gè)三十進(jìn)制的計(jì)數(shù)器來(lái)實(shí)現(xiàn)定時(shí),一個(gè)小時(shí)即六十分鐘,可以用一個(gè)六十進(jìn)制的計(jì)數(shù)器實(shí)現(xiàn),依此類推即可。 溫度設(shè)置模塊:室溫與設(shè)置溫度的比較需要用比較語(yǔ)句來(lái)實(shí)現(xiàn),空調(diào)溫度的增減都需要用計(jì)數(shù)器來(lái)實(shí)現(xiàn),所以這是一個(gè)包含比較和計(jì)數(shù)兩種功能的電路。 開(kāi)關(guān)模塊:我采用的是一個(gè)是三輸入開(kāi)關(guān)控制器

3、,三個(gè)輸入分別代表模式選擇、時(shí)間設(shè)置、溫度設(shè)置,只要其中有一個(gè)模塊開(kāi)始工作,那么開(kāi)關(guān)就打開(kāi),空調(diào)就開(kāi)始工作。 顯示模塊:上述幾個(gè)模塊都需要這個(gè)模塊來(lái)進(jìn)行顯示。用兩個(gè)BCD-七段譯碼器來(lái)分別顯示顯示十位和個(gè)位。就可以看出溫度和時(shí)間的變化。二、 程序源代碼及說(shuō)明模式選擇,四選一數(shù)據(jù)選擇器:仿真見(jiàn)圖一LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY xuanze IS PORT(a,b,c,d,s1,s2 : IN STD_LOGIC; -a,b,c,d是數(shù)據(jù)端口, s1和s2是選擇端口。 y : OUT STD_LOGIC); END ENTITY

4、xuanze; ARCHITECTURE one OF xuanze IS SIGNAL ss : STD_LOGIC_VECTOR (0 TO 1); BEGIN ss<=s2&s1; -將兩個(gè)標(biāo)準(zhǔn)邏輯位類型變成一個(gè)標(biāo)準(zhǔn)邏輯矢量類型 PROCESS(ss) BEGIN CASE ss IS -用case語(yǔ)句實(shí)現(xiàn)多路選擇 WHEN "00" => y<=a; WHEN "01" => y<=b; WHEN "10" => y<=c; WHEN "11" =>

5、y<=d; WHEN OTHERS => NULL; END CASE; END PROCESS; END ARCHITECTURE one; 定時(shí)半小時(shí),三十進(jìn)制計(jì)數(shù)器:仿真見(jiàn)圖二library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity thirty is port(clk,clr:in std_logic; gewei,shiwei:out std_logic_vector(3 downto 0); -個(gè)位和十位 dingshi:out std_logic); -定時(shí)脈沖

6、end entity; architecture arc of thirty is signal jinwei: std_logic; -進(jìn)位begin process(clk,clr) variable ge:std_logic_vector(3 downto 0); begin if clr='1' then ge:="0000" -clr有清零作用 elsif clk 'event and clk='1' then if ge="1000" then ge:=ge+1; jinwei<='1&#

7、39; -當(dāng)個(gè)位加到“1000” 即第九個(gè)時(shí)鐘上升 時(shí)產(chǎn)生一個(gè)進(jìn)位。 elsif ge="1001" then ge:="0000" -個(gè)位加到9之后返回0 jinwei<='0' else ge:=ge+1; jinwei<='0' end if; end if; gewei<=ge; end process; process(clk,clr,jinwei) variable shi:std_logic_vector(3 downto 0); Begin if clr='1' then

8、shi:="0000" else if clk 'event and clk='1' then if jinwei='1' then if shi="0010" then shi:="0000" -十位從“0000”變成“0010”, 即經(jīng)過(guò)了30 個(gè)時(shí)鐘脈沖,然后 返回“0000”。同時(shí)產(chǎn)生一個(gè)定 時(shí)脈沖。 dingshi<='1' else shi:=shi+1;dingshi<='0' end if; end if; shiwei<=shi

9、; end if; end if; end process; end arc;定時(shí)一小時(shí),六十進(jìn)制計(jì)數(shù)器:仿真見(jiàn)圖三library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sixty is port(clk,clr:in std_logic; gewei,shiwei:out std_logic_vector(3 downto 0); -個(gè)位和十位 dingshi:out std_logic); -定時(shí)脈沖end entity; architecture arc of sixty is

10、 signal jinwei: std_logic; -進(jìn)位begin process(clk,clr) variable ge:std_logic_vector(3 downto 0); begin if clr='1' then ge:="0000" -clr有清零作用 elsif clk 'event and clk='1' then if ge="1000" then ge:=ge+1;jinwei<='1' -當(dāng)個(gè)位加到“1000” 即第九個(gè)時(shí)鐘產(chǎn)生 一個(gè)進(jìn)位。 else if ge

11、="1001" then jinwei<='0'ge:="0000" -個(gè)位加到9之后返回0 else ge:=ge+1;jinwei<='0' end if; end if; end if; gewei<=ge; end process; process(clk,clr,jinwei) variable shi:std_logic_vector(3 downto 0); Begin if clr='1' then shi:="0000" elsif clk '

12、event and clk='1' then if jinwei='1' then if shi="0101" then shi:="0000"dingshi<='1' -十位從“0000”變成“0101”, 即經(jīng)過(guò)了60 個(gè)時(shí)鐘脈沖,然后 返回“0000”。同時(shí)產(chǎn)生一個(gè)定 時(shí)脈沖。 else shi:=shi+1;dingshi<='0' end if; end if; else shi:=shi; end if; shiwei<=shi; end process; en

13、d arc; 溫度控制,仿真見(jiàn)圖四和圖五library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wendu is -根據(jù)室溫和設(shè)定溫度的比較判斷溫 度是上升還是降低port (clk,en: in std_logic; -clk 時(shí)鐘 en 開(kāi)關(guān)/使能端 shiwei,gewei,romeshi,romege: in std_logic_vector(0 to 3); -shiwei 設(shè)置溫度的十位 ; gewei 設(shè)置溫度的個(gè)位; romeshi 設(shè)置室溫的十位 ; romege 設(shè)置溫度

14、的個(gè)位。shiout,geout:out std_logic_vector(0 to 3); - shiout輸出溫度的十位 geout輸出溫度的個(gè)位end wendu;architecture behave of wendu issignal hs,hg:std_logic_vector(0 to 3);beginprocess(clk,en,shiwei,gewei,romeshi,romege,hs,hg)beginif en='0' then hs<=romeshi;hg<=romege;elsif clk'event and clk='1&

15、#39; thenif hs&hg<shiwei&gewei then -室溫低于設(shè)置的溫度,溫度上升if hg<"1001" then hg<=hg+1;else hg<="0000"hs<=hs+1;end if;elsif hs&hg>shiwei&gewei then -室溫高于設(shè)置的溫度,溫度下降if hg>"0000" then hg<=hg-1;else hg<="1001"hs<=hs-1;end if;el

16、se hs<=shiwei;hg<=gewei; -判斷溫度上升或下降到設(shè)置的溫度,保持溫度顯示不變end if;end if;shiout<=hs and en&en&en&en;geout<=hg and en&en&en&en; -輸出溫度end process;end behave; 開(kāi)關(guān)控制器:仿真見(jiàn)圖六library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity kaiguan is port(shuru1,

17、shuru2,shuru3,stop:in std_logic; diandongji:out std_logic); end entity; architecture one of kaiguan is begin process(shuru1,shuru2,stop) begin if shuru1='1' or shuru2='1'or shuru3='1' then diandongji<='1' -三輸入中只要有一個(gè)為1,那開(kāi)關(guān)就打開(kāi)。 elsif stop='1' then diandongji&

18、lt;='0' - end if; end process; end one;七段譯碼器:仿真見(jiàn)圖七library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity xianshi is port (shuzi:in std_logic_vector(3 downto 0); shuchu:out std_logic_vector(6 downto 0);end xianshi;architecture a of xianshi

19、 isbeginwith shuzi selectshuchu<="1111110" when "0000", "0110000" when "0001", "1101101" when "0010", "1111001" when "0011", "0110011" when "0100", "1011011" when "0101", "

20、1011111" when "0110", "1110000" when "0111", "1111111" when "1000", "1111011" when "1001", "0000000" when others; -將四位二進(jìn)制數(shù)通過(guò)七段譯碼器顯示出來(lái)end a;三、 仿真結(jié)果及分析圖一這是一個(gè)四選一數(shù)據(jù)選擇器,“s2s1”的“00”、“01”、“10”、“11”分別可以選擇a,b,c,d四個(gè)模式,即題目中的強(qiáng)風(fēng)

21、、弱風(fēng)、自然風(fēng)、睡眠四種狀態(tài)。圖二 這是一個(gè)三十進(jìn)制計(jì)數(shù)器,由仿真波形可以看到,每經(jīng)過(guò)一個(gè)時(shí)鐘脈沖,個(gè)位加一,每經(jīng)過(guò)10個(gè)時(shí)鐘脈沖,十位加一,每經(jīng)過(guò)30個(gè)時(shí)鐘脈沖產(chǎn)生一個(gè)定時(shí)脈沖,同時(shí)十位和個(gè)位都?xì)w零。圖三 這是一個(gè)六十進(jìn)制計(jì)數(shù)器,由仿真波形可以看到,每經(jīng)過(guò)一個(gè)時(shí)鐘脈沖,個(gè)位加一,每經(jīng)過(guò)10個(gè)時(shí)鐘脈沖,十位加一,每經(jīng)過(guò)60個(gè)時(shí)鐘脈沖產(chǎn)生一個(gè)定時(shí)脈沖,同時(shí)十位和個(gè)位都?xì)w零。圖四圖四中,室溫為30度,設(shè)置的溫度為25度,所以溫度下降至25度后并維持在25攝氏度。 圖五 圖五中,室溫為10度,設(shè)置的溫度為25度,室溫低于設(shè)置的溫度,所以溫度上升,并且上升到25度后維持在25度。圖六 這相當(dāng)于一個(gè)三輸

22、入或門和另一個(gè)非門相與。三個(gè)shuru中只要有一個(gè)為1,且stop為0,則開(kāi)關(guān)打開(kāi)為1;三個(gè)shuru和stop都為0時(shí)顯示高組態(tài);其余情況則顯示0。圖七 BCD-七段譯碼顯示器,將輸入的四位二制數(shù)編譯成七段數(shù)碼管的明暗。4、 心得體會(huì) 首先,我想分享一下我做這次期末課程設(shè)計(jì)的體會(huì)與感想。老師給了一個(gè)大致的范圍,也就是家用電器的控制器的設(shè)計(jì)。通過(guò)觀察思考,我發(fā)現(xiàn)各種家電雖然在我們的生活中各司其職,但是它們的控制原理卻是極為相似的?;径及ǎ翰煌J竭x擇(強(qiáng)洗弱洗、強(qiáng)風(fēng)弱風(fēng).)、設(shè)定工作時(shí)間、設(shè)置工作功率的大小(高低溫度、大小轉(zhuǎn)速.)等等。這些不同的功能的實(shí)現(xiàn)恰好用到了第三章所學(xué)的各種邏輯電路,包括組合邏輯電路,如門電

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論