出租車計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)論文EDA_第1頁(yè)
出租車計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)論文EDA_第2頁(yè)
出租車計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)論文EDA_第3頁(yè)
出租車計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)論文EDA_第4頁(yè)
出租車計(jì)費(fèi)系統(tǒng)畢業(yè)設(shè)計(jì)論文EDA_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 結(jié)課論文 題 目 基于VHDL語(yǔ)言的出租車計(jì)費(fèi)系統(tǒng)的設(shè)計(jì) 學(xué)生姓名 趙曼 學(xué)號(hào) 1210064044 所在院(系) 物理與電信工程學(xué)院 專業(yè)班級(jí) 電子信息科學(xué)與技術(shù)1202 指導(dǎo)教師 盧超 完成地點(diǎn) 實(shí)驗(yàn)樓1104教室 基于VHDL語(yǔ)言的出租車計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)趙曼(陜理工物理與電信工程學(xué)院電子信息科學(xué)與技術(shù)專業(yè)電信1202班,陜西漢中 723000)指導(dǎo)教師:盧超 摘要 隨著出租車行業(yè)的發(fā)展,對(duì)出租車計(jì)費(fèi)器的要求也越來(lái)越高,用戶不僅要求計(jì)費(fèi)器性能穩(wěn)定,計(jì)費(fèi)準(zhǔn)確,有防作弊功能;同時(shí)還要求其具有車票資料打印、IC卡付費(fèi)和電腦串行通信功能。不同國(guó)家和地址的計(jì)費(fèi)方式存在差異,如有些地區(qū)有夜間收費(fèi)及郊區(qū)

2、收費(fèi)等,而有些地區(qū)則無(wú)夜間收費(fèi)和郊區(qū)收費(fèi)。本次設(shè)計(jì)采用硬件和軟件相結(jié)合的方法,以單片機(jī)為核心,從圈脈沖信號(hào)的產(chǎn)生到營(yíng)業(yè)信息的打印都做了詳細(xì)的介紹,并對(duì)MAX813L看門狗、復(fù)位電路、行車?yán)锍虣z測(cè)電路、掉電存儲(chǔ)電路、IC卡讀寫電路、分屏顯示電路以及操作面板進(jìn)行了詳細(xì)的設(shè)計(jì)。該系統(tǒng)還具有防止干擾和防死機(jī)的功能,系統(tǒng)的電源是專門為出租車設(shè)計(jì)的,具有相當(dāng)強(qiáng)的穩(wěn)壓效果,系統(tǒng)采用了EEPROM存儲(chǔ)器,可以方便且安全的記錄顧客和車主的信息。 關(guān)鍵詞89C51;里程檢測(cè);分屏顯示;EEPROM 【Abstract】Along with the development of taxi trade, counti

3、ng fees that the requirement of ware is also more and more higher to the taxi, user not only asks to count fees, and the ware function is stable, and it is accurate to count fees, and has guarding against the function cheated; At the same time still ask his to possess ticket material to print and IC

4、 blocks paying fees and computer string capable communication function.The method that hardware and software each other combine is adopted in this design, and takes one piece machine as the nucleus, printting to doing business information all did the detailed introduction from the circle pulsing,To

5、the content of 89C51 and MAX813L Guarding the entrance the dog and the introduction that reduces the circuit to blame for focal point at the same time, this system still possesses to prevent to disturb and the function guarding against the dead machine, and special in the taxi design during power su

6、pply of system, possessing fairly strong steady pressure effect, the system adopted IC Block the memory, and can make things convenient for just the information of safe record customer and Che owner. 【Keywords】The dog is guarded the entrance 89c51 Circle pulse Anti-jamming目 錄引言11 EDA技術(shù)的發(fā)展11.1 設(shè)計(jì)背景11

7、.2 EDA發(fā)展概況12 出租車計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)42.1出租車計(jì)費(fèi)設(shè)計(jì)42.2 基本設(shè)計(jì)思想43 出租車計(jì)費(fèi)系統(tǒng)的實(shí)現(xiàn)53.1系統(tǒng)的總體框圖53.2程序流程圖64 系統(tǒng)各功能模塊的實(shí)現(xiàn)74.1模塊JIFEI的實(shí)現(xiàn)74.2 模塊X的實(shí)現(xiàn)84.3 模塊XXX1的實(shí)現(xiàn)104.4模塊SE的實(shí)現(xiàn)124.5 模塊DI的實(shí)現(xiàn)125 系統(tǒng)仿真13 5.1 模塊X的仿真結(jié)果135.2 模塊JIFEI的仿真結(jié)果135.3 模塊XXX1的仿真結(jié)果145.4模塊SE的結(jié)果驗(yàn)證145.5模塊DI的結(jié)果驗(yàn)證156 結(jié)論與心得157 致謝15參考文獻(xiàn)16引言 電子設(shè)計(jì)技術(shù)的核心就是EDA技術(shù),EDA是指以計(jì)算機(jī)為工作臺(tái),融合

8、應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)最新成果而研制成的電子CAD通用軟件包,主要能輔助進(jìn)行三方面的設(shè)計(jì)工作,即IC設(shè)計(jì)、電子電路設(shè)計(jì)和PCB設(shè)計(jì)。EDA技術(shù)已有30年的發(fā)展歷程,大致可分為三個(gè)階段。70年代為計(jì)算機(jī)輔助設(shè)計(jì)(CAD)階段,人們開(kāi)始用計(jì)算機(jī)輔助進(jìn)行IC版圖編輯、PCB布局布線,取代了手工操作。80年代為計(jì)算機(jī)輔助工程(CAE)階段。與CAD相比,CAE除了有純粹的圖形繪制功能外,又增加了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),并且通過(guò)電氣連接網(wǎng)絡(luò)表將兩者結(jié)合在一起,實(shí)現(xiàn)了工程設(shè)計(jì)。CAE的主要功能是:原理圖輸入,邏輯仿真,電路分析,自動(dòng)布局布線,PCB后分析。90年代為電子系統(tǒng)設(shè)計(jì)自動(dòng)化(EDA

9、)階段。1 EDA技術(shù)的發(fā)展1.1 設(shè)計(jì)背景 隨著出租車行業(yè)的發(fā)展,對(duì)出租車計(jì)費(fèi)器的要求也越來(lái)越高。二十世紀(jì)后半期,隨著集成電路和計(jì)算機(jī)技術(shù)的飛速發(fā)展,數(shù)字系統(tǒng)也得到了飛速發(fā)展,其實(shí)現(xiàn)方法經(jīng)歷了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI的過(guò)程。同時(shí)為了提高系統(tǒng)的可靠性與通用性,微處理器和專業(yè)集成電路(ASIC)逐漸取代了通用全硬件LSI電路,而ASIC以其體積小、重量輕、功耗低、速度快、成本低、保密性好而脫穎而出。目前,業(yè)界大量可編程邏輯器件(PLD),尤其是現(xiàn)場(chǎng)可編程邏輯器件(FPLD)被大量地應(yīng)用在ASIC的制作當(dāng)中。在可編程集成電路的開(kāi)發(fā)過(guò)程中,以計(jì)算機(jī)為工作平臺(tái),融合了

10、應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)最新成果的電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)主要能輔助進(jìn)行三方面的設(shè)計(jì)工作:IC設(shè)計(jì),電子電路設(shè)計(jì)以及PCB設(shè)計(jì)。理想的可編程邏輯開(kāi)發(fā)系統(tǒng)能符合大量的設(shè)計(jì)要求:它能夠支持不同結(jié)構(gòu)的器件,在多種平臺(tái)運(yùn)行,提供易于使用的界面,并且有廣泛的特征。此外,一個(gè)設(shè)計(jì)系統(tǒng)應(yīng)該能給設(shè)計(jì)師提供充分自由的設(shè)計(jì)輸入方法和設(shè)計(jì)工具選擇。Altered公司開(kāi)發(fā)的MAX+PLUS開(kāi)發(fā)系統(tǒng)能充分滿足可編程邏輯設(shè)計(jì)所有要求。 MAX+PLUS設(shè)計(jì)環(huán)境所提供的靈活性和高效性是無(wú)可比擬的。其豐富的圖形界面,輔之以完整的、可及時(shí)訪問(wèn)的在線文檔,使設(shè)計(jì)人員能夠輕松、愉快地掌握和使用MAX+PLUS軟件。

11、編程器是一種專門用于對(duì)可編程器(如EPROM,EEPROM,GAL,CPLD,PAL等)進(jìn)行編程的專業(yè)設(shè)備PLD器件的邏輯功能描述一般分為原理圖描述和硬件描述語(yǔ)言描述,原理圖描述是一種直觀簡(jiǎn)便的方法,它可以將現(xiàn)有的小規(guī)模集成電路實(shí)現(xiàn)的功能直接用PLD器件來(lái)實(shí)現(xiàn),而不必去將現(xiàn)有的電路用語(yǔ)言來(lái)描述,但電路圖描述方法無(wú)法做到簡(jiǎn)練;硬件描述語(yǔ)言描述是可編程器件設(shè)計(jì)的另一種描述方法,語(yǔ)言描述可能精確和簡(jiǎn)練地表示電路的 邏輯功能,現(xiàn)在PLD的設(shè)計(jì)過(guò)程中廣泛使用。常用的硬件描述語(yǔ)言有ABEL,VHDL語(yǔ)言等,其中ABEL是一種簡(jiǎn)單的硬件描述語(yǔ)言,其支持布爾方程、真值表、狀態(tài)機(jī)等邏輯描述,適用于計(jì)數(shù)器、譯碼器

12、、運(yùn)算電路、比較器等邏輯功能的描述;VHDL語(yǔ)言是一種行為描述語(yǔ)言,其編程結(jié)構(gòu)類似于計(jì)算機(jī)中的C語(yǔ)言,在描述復(fù)雜邏輯設(shè)計(jì)時(shí),非常簡(jiǎn)潔,具有很強(qiáng)的邏輯描述和仿真能力,是未來(lái)硬件設(shè)計(jì)語(yǔ)言的主流。 VHDL就是超高速集成電路硬件描述語(yǔ)言。覆蓋面廣,描述能力強(qiáng),是一個(gè)多層次的硬件描述語(yǔ)言。在VHDL語(yǔ)言中,設(shè)計(jì)的原始描述可以非常簡(jiǎn)練,經(jīng)過(guò)層層加強(qiáng)后,最終可成為直接付諸生產(chǎn)的電路或版圖參數(shù)描述。具有良好的可讀性,即容易被計(jì)算機(jī)接受,也容易被讀者理解。使用期長(zhǎng),不會(huì)因工藝變化而使描述過(guò)時(shí)。因?yàn)閂HDL的硬件描述與工藝無(wú)關(guān),當(dāng)工藝改變時(shí),只需修改相應(yīng)程序中的屬性參數(shù)即可。支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利

13、用。一個(gè)大規(guī)模的設(shè)計(jì)不可能由一個(gè)人獨(dú)立完成,必須由多人共同承擔(dān),VHDL為設(shè)計(jì)的分解和設(shè)計(jì)的再利用提供了有力的支持。1.2 EDA發(fā)展概況 中國(guó)EDA市場(chǎng)已漸趨成熟,不過(guò)大部分設(shè)計(jì)工程師面向的是PC主板和小型ASIC領(lǐng)域,僅有小部分(約11%)的設(shè)計(jì)人員開(kāi)發(fā)復(fù)雜的片上系統(tǒng)器件。為了與臺(tái)灣和美國(guó)的設(shè)計(jì)工程師形成更有力的競(jìng)爭(zhēng),中國(guó)的設(shè)計(jì)隊(duì)伍有必要購(gòu)入一些最新的EDA技術(shù)。 在信息通信領(lǐng)域,要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、深亞微米集成電路、新型元器件、計(jì)算機(jī)及軟件技術(shù)、第三代移動(dòng)通信技術(shù)、信息管理、信息安全技術(shù),積極開(kāi)拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,發(fā)展新興產(chǎn)業(yè),培育新的經(jīng)濟(jì)增長(zhǎng)點(diǎn)。要大力推

14、進(jìn)制造業(yè)信息化,積極開(kāi)展計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助工程(CAE)、計(jì)算機(jī)輔助工藝(CAPP)、計(jì)算機(jī)機(jī)輔助制造(CAM)、產(chǎn)品數(shù)據(jù)管理(PDM)、制造資源計(jì)劃(MRPII)及企業(yè)資源管理(ERP)等。有條件的企業(yè)可開(kāi)展“網(wǎng)絡(luò)制造”,便于合作設(shè)計(jì)、合作制造,參與國(guó)內(nèi)和國(guó)際競(jìng)爭(zhēng)。開(kāi)展“數(shù)控化”工程和“數(shù)字化”工程。自動(dòng)化儀表的技術(shù)發(fā)展趨勢(shì)的測(cè)試技術(shù)、控制技術(shù)與計(jì)算機(jī)技術(shù)、通信技術(shù)進(jìn)一步融合,形成測(cè)量、控制、通信與計(jì)算機(jī)(M3C)結(jié)構(gòu)。在ASIC和PLD設(shè)計(jì)方面,向超高速、高密度、低功耗、低電壓方向發(fā)展。外設(shè)技術(shù)與EDA工程相結(jié)合的市場(chǎng)前景看好,如組合超大屏幕的相關(guān)連接,多屏幕技術(shù)也有所發(fā)

15、展。 中國(guó)自1995年以來(lái)加速開(kāi)發(fā)半導(dǎo)體產(chǎn)業(yè),先后建立了幾所設(shè)計(jì)中心,推動(dòng)系列設(shè)計(jì)活動(dòng)以應(yīng)對(duì)亞太地區(qū)其它EDA市場(chǎng)的競(jìng)爭(zhēng)。 在EDA軟件開(kāi)發(fā)方面,目前主要集中在美國(guó)。但各國(guó)也正在努力開(kāi)發(fā)相應(yīng)的工具。日本、韓國(guó)都有ASIC設(shè)計(jì)工具,但不對(duì)外開(kāi)放 。中國(guó)華大集成電路設(shè)計(jì)中心,也提供IC設(shè)計(jì)軟件,但性能不是很強(qiáng)。相信在不久的將來(lái)會(huì)有更多更好的設(shè)計(jì)工具有各地開(kāi)花并結(jié)果。據(jù)最新統(tǒng)計(jì)顯示,中國(guó)和印度正在成為電子設(shè)計(jì)自動(dòng)化領(lǐng)域發(fā)展最快的兩個(gè)市場(chǎng),年復(fù)合增長(zhǎng)率分別達(dá)到了50%和30%。 EDA技術(shù)發(fā)展迅猛,完全可以用日新月異來(lái)描述。EDA技術(shù)的應(yīng)用廣泛,現(xiàn)在已涉及到各行各業(yè)。EDA水平不斷提高,設(shè)計(jì)工具趨于完

16、美的地步。EDA市場(chǎng)日趨成熟,但我國(guó)的研發(fā)水平還很有限,需迎頭趕上??删幊踢壿嬈骷阅甏詠?lái),經(jīng)歷了、幾個(gè)發(fā)展階段,其中高密度可編程邏輯器件,目前集成度已高達(dá)萬(wàn)門片,它將各模塊集成度高的優(yōu)點(diǎn)和可編程邏輯器件設(shè)計(jì)生產(chǎn)方便的特點(diǎn)結(jié)合在一起,特別適合于樣品研制或小批量產(chǎn)品開(kāi)發(fā),使產(chǎn)品能以最快的速度上市,而當(dāng)市場(chǎng)擴(kuò)大時(shí),它可以很容易地轉(zhuǎn)換掩模實(shí)現(xiàn),因此開(kāi)發(fā)風(fēng)險(xiǎn)也大為降低。硬件描述語(yǔ)言()是一種用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語(yǔ)言,它用軟件編程的方式來(lái)描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門級(jí)描述方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計(jì)。例如一個(gè)位的加法器,利用圖形輸入軟件需要輸人至個(gè)門,而利用語(yǔ)言

17、只需要書(shū)寫一行“”即可。而且 語(yǔ)言可讀性強(qiáng),易于修改和發(fā)現(xiàn)錯(cuò)誤。早期的硬件描述語(yǔ)言,如、,由不同的廠商開(kāi)發(fā),互不兼容,而且不支持多層次設(shè)計(jì),層次間翻譯工作要由人工完成。為了克服以上不足,年美國(guó)國(guó)防部正式推出了高速集成電路硬件描述語(yǔ)言,年采納為硬件描述語(yǔ)言標(biāo)準(zhǔn)( )。是一種全方位的硬件描述語(yǔ)言,包括系統(tǒng)行為級(jí)。寄存器傳輸級(jí)和邏輯門多個(gè)設(shè)計(jì)層次,支持結(jié)構(gòu)、數(shù)據(jù)流和行為三種描述形式的混合描述,因此幾乎覆蓋了以往各種硬件俄語(yǔ)言的功能,整個(gè)自頂向下或由下向上的電路設(shè)計(jì)過(guò)程都可以用來(lái)完成。還具有以下優(yōu)點(diǎn):()的寬范圍描述能力使它成為高層進(jìn)設(shè)計(jì)的核心,將設(shè)計(jì)人員的工作重心提高到了系統(tǒng)功能的實(shí)現(xiàn)與調(diào)試,而花較

18、少的精力于物理實(shí)現(xiàn)。可以用簡(jiǎn)潔明確的代碼描述來(lái)進(jìn)行復(fù)雜控制邏輯設(shè)計(jì),靈活且方便,而且也便于設(shè)計(jì)結(jié)果的交流、保存和重用。()的設(shè)計(jì)不依賴于特定的器件,方便了工藝的轉(zhuǎn)換。()是一個(gè)標(biāo)準(zhǔn)語(yǔ)言,為眾多的廠商支持,因此移植性好。傳統(tǒng)的硬件電路設(shè)計(jì)方法是采用自下而上的設(shè)計(jì)方法,即根據(jù)系統(tǒng)對(duì)硬件的要求,詳細(xì)編制技術(shù)規(guī)格書(shū),并畫(huà)出系統(tǒng)控制流圖;然后根據(jù)技術(shù)規(guī)格書(shū)和系統(tǒng)控制流圖,對(duì)系統(tǒng)的功能進(jìn)行細(xì)化,合理地劃分功能模塊,并畫(huà)出系統(tǒng)的功能框圖;接著就進(jìn)行各功能模塊的細(xì)化和電路設(shè)計(jì);各功能模塊電路設(shè)計(jì)、調(diào)試完成后,將各功能模塊的硬件電路連接起來(lái)再進(jìn)行系統(tǒng)的調(diào)試,最后完成整個(gè)系統(tǒng)的硬件設(shè)計(jì)。采用傳統(tǒng)方法設(shè)計(jì)數(shù)字系統(tǒng),

19、特別是當(dāng)電路系統(tǒng)非常龐大時(shí),設(shè)計(jì)者必須具備較好的設(shè)計(jì)經(jīng)驗(yàn),而且繁雜多樣的原理圖的閱讀和修改也給設(shè)計(jì)者帶來(lái)諸多的不便。為了提高開(kāi)發(fā)的效率,增加已有開(kāi)發(fā)成果的可繼承性以及縮短開(kāi)發(fā)周期,各ASIC研制和生產(chǎn)廠家相繼開(kāi)發(fā)了具有自己特色的電路硬件描述語(yǔ)言(Hardware Description Language,簡(jiǎn)稱HDL)。但這些硬件描述語(yǔ)言差異很大,各自只能在自己的特定設(shè)計(jì)環(huán)境中使用,這給設(shè)計(jì)者之間的相互交流帶來(lái)了極大的困難。因此,開(kāi)發(fā)一種強(qiáng)大的、標(biāo)準(zhǔn)化的硬件描述語(yǔ)言作為可相互交流的設(shè)計(jì)環(huán)境已勢(shì)在必行。于是,美國(guó)于1981年提出了一種新的、標(biāo)準(zhǔn)化的HDL,稱之為VHSIC(Very High Sp

20、eed Integrated Circuit) Hardware Description Language,簡(jiǎn)稱VHDL。這是一種用形式化方法來(lái)描述數(shù)字電路和設(shè)計(jì)數(shù)字邏輯系統(tǒng)的語(yǔ)言。設(shè)計(jì)者可以利用這種語(yǔ)言來(lái)描述自己的設(shè)計(jì)思想,然后利用電子設(shè)計(jì)自動(dòng)化工具進(jìn)行仿真,再自動(dòng)綜合到門電路,最后用PLD實(shí)現(xiàn)其功能。覆蓋面廣,描述能力強(qiáng),是一個(gè)多層次的硬件描述語(yǔ)言。在VHDL語(yǔ)言中,設(shè)計(jì)的原始描述可以非常簡(jiǎn)練,經(jīng)過(guò)層層加強(qiáng)后,最終可成為直接付諸生產(chǎn)的電路或版圖參數(shù)描述。具有良好的可讀性,即容易被計(jì)算機(jī)接受,也容易被讀者理解。使用期長(zhǎng),不會(huì)因工藝變化而使描述過(guò)時(shí)。因?yàn)閂HDL的硬件描述與工藝無(wú)關(guān),當(dāng)工藝改變

21、時(shí),只需修改相應(yīng)程序中的屬性參數(shù)即可。支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用。一個(gè)大規(guī)模的設(shè)計(jì)不可能由一個(gè)人獨(dú)立完成,必須由多人共同承擔(dān),VHDL為設(shè)計(jì)的分解和設(shè)計(jì)的再利用提供了有力的支持。當(dāng)電路系統(tǒng)采用VHDL語(yǔ)言設(shè)計(jì)其硬件時(shí),與傳統(tǒng)的電路設(shè)計(jì)方法相比較,具有如下的特點(diǎn):即從系統(tǒng)總體要求出發(fā),自上而下地逐步將設(shè)計(jì)的內(nèi)容細(xì)化,最后完成系統(tǒng)硬件的整體設(shè)計(jì)。在設(shè)計(jì)的過(guò)程中,對(duì)系統(tǒng)自上而下分成三個(gè)層次進(jìn)行設(shè)計(jì):第一層次是行為描述。所謂行為描述,實(shí)質(zhì)上就是對(duì)整個(gè)系統(tǒng)的數(shù)學(xué)模型的描述。一般來(lái)說(shuō),對(duì)系統(tǒng)進(jìn)行行為描述的目的是試圖在系統(tǒng)設(shè)計(jì)的初始階段,通過(guò)對(duì)系統(tǒng)行為描述的仿真來(lái)發(fā)現(xiàn)設(shè)計(jì)中存在的問(wèn)題。在行為描述

22、階段,并不真正考慮其實(shí)際的操作和算法用何種方法來(lái)實(shí)現(xiàn),而是考慮系統(tǒng)的結(jié)構(gòu)及其工作的過(guò)程是否能到達(dá)系統(tǒng)設(shè)計(jì)的要求。第二層次是RTL方式描述。這一層次稱為寄存器傳輸描述(又稱數(shù)據(jù)流描述)。如前所述,用行為方式描述的系統(tǒng)結(jié)構(gòu)的程序,其抽象程度高,是很難直接映射到具體邏輯元件結(jié)構(gòu)的。要想得到硬件的具體實(shí)現(xiàn),必須將行為方式描述的VHDL語(yǔ)言程序改寫為RTL方式描述的VHDL語(yǔ)言程序。也就是說(shuō),系統(tǒng)采用RTL方式描述,才能導(dǎo)出系統(tǒng)的邏輯表達(dá)式,才能進(jìn)行邏輯綜合。第三層次是邏輯綜合。即利用邏輯綜合工具,將RTL方式描述的程序轉(zhuǎn)換成用基本邏輯元件表示的文件(門級(jí)網(wǎng)絡(luò)表)。此時(shí),如果需要,可將邏輯綜合的結(jié)果以邏

23、輯原理圖的方式輸出。此后可對(duì)綜合的結(jié)果在門電路級(jí)上進(jìn)行仿真,并檢查其時(shí)序關(guān)系。應(yīng)用邏輯綜合工具產(chǎn)生的門網(wǎng)絡(luò)表,將其轉(zhuǎn)換成PLD的編程碼,即可利用PLD實(shí)現(xiàn)硬件電路的設(shè)計(jì)。由自上而下的設(shè)計(jì)過(guò)程可知,從總體行為設(shè)計(jì)開(kāi)始到最終的邏輯綜合,每一步都要進(jìn)行仿真檢查,這樣有利于盡早發(fā)現(xiàn)設(shè)計(jì)中存在的問(wèn)題,從而可以大大縮短系統(tǒng)的設(shè)計(jì)周期。由于目前眾多制造PLD芯片的廠家,其工具軟件均支持VHDL語(yǔ)言的編程。所以利用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng)時(shí),可以根據(jù)硬件電路的設(shè)計(jì)需要,自行利用PLD設(shè)計(jì)自用的ASIC芯片,而無(wú)須受通用元器件的限制。技術(shù)的每一次進(jìn)步,都引起了設(shè)計(jì)層次上的一次飛躍,從設(shè)計(jì)層次上分,年代為物理級(jí)設(shè)

24、計(jì)(),年代為電路級(jí)設(shè)計(jì)(),年代進(jìn)入到系統(tǒng)級(jí)設(shè)計(jì)()。物理級(jí)設(shè)計(jì)主要指版圖設(shè)計(jì),一般由半導(dǎo)體廠家完成,對(duì)電子工程師沒(méi)有太大的意義,因此本文重點(diǎn)介紹電路級(jí)設(shè)計(jì)和系統(tǒng)級(jí)設(shè)計(jì)。仿真通過(guò)后,根據(jù)原理圖產(chǎn)生的電氣連接網(wǎng)絡(luò)表進(jìn)行板的自動(dòng)布局布線。在制作板之前還可以進(jìn)行后分析,其中包括熱分析、噪聲及竄擾分析、電磁兼容分析、可靠性分析等,并可將分析后的結(jié)果參數(shù)反標(biāo)回電路圖,進(jìn)行第二次仿真,也稱為后仿真。后仿真主要是檢驗(yàn)板在實(shí)際工作環(huán)境中的可行性。由此可見(jiàn),電路級(jí)的技術(shù)使電子工程師在實(shí)際的電子系統(tǒng)產(chǎn)生前,就可以全面地了解系統(tǒng)的功能特性和物理特性,從而將開(kāi)發(fā)風(fēng)險(xiǎn)消滅在設(shè)計(jì)階段,縮短了開(kāi)發(fā)時(shí)間,降低了開(kāi)發(fā)成本。系

25、統(tǒng)級(jí)設(shè)計(jì) 進(jìn)人年代以來(lái),電子信息類產(chǎn)品的開(kāi)發(fā)明顯呈現(xiàn)兩個(gè)特點(diǎn):一是產(chǎn)品復(fù)雜程度提高;二是產(chǎn)品上市時(shí)限緊迫。然而,電路級(jí)設(shè)計(jì)本質(zhì)上是基于門級(jí)描述的單層次設(shè)計(jì),設(shè)計(jì)的所有工作(包括設(shè)計(jì)忙人、仿真和分析、設(shè)計(jì)修改等)都是在基本邏輯門這一層次上進(jìn)行的,顯然這種設(shè)計(jì)方法不能適應(yīng)新的形勢(shì),一種高層次的電子設(shè)計(jì)方法,也即系統(tǒng)級(jí)設(shè)計(jì)方法,應(yīng)運(yùn)而生。高層次設(shè)計(jì)是一種“概念驅(qū)動(dòng)式”設(shè)計(jì),設(shè)計(jì)人員無(wú)須通過(guò)原理圖描述電路,而是針對(duì)設(shè)計(jì)目標(biāo)進(jìn)行功能描述。由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計(jì)人員可以把精力集中于創(chuàng)造性的方案與概念的構(gòu)思上,而且這些概念構(gòu)思以高層次描述的形式輸人計(jì)算機(jī),系統(tǒng)就能以規(guī)則驅(qū)動(dòng)的方式自動(dòng)完成整個(gè)設(shè)計(jì)。這

26、樣,新的概念就能迅速有效地成為產(chǎn)品,大大縮短了,產(chǎn)品的研制周期。不僅如此,高層次設(shè)計(jì)只是定義系統(tǒng)的行為特性,可以不涉及實(shí)現(xiàn)工藝,因此還可以在廠家綜合庫(kù)的支持下,利用綜合優(yōu)化工。2 出租車計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)2.1出租車計(jì)費(fèi)設(shè)計(jì)實(shí)際中出租車的計(jì)費(fèi)工作原理一般分成3個(gè)階段: (1)車起步開(kāi)始計(jì)費(fèi)。首先顯示起步價(jià)(本次設(shè)計(jì)起步費(fèi)為7.00元),車在行駛3 km以內(nèi),只收起步價(jià)7.00元。 (2)車行駛超過(guò)3 km后,按每公里2.2元計(jì)費(fèi)(在7.00元基礎(chǔ)上每行駛1 km車費(fèi)加2.2元),車費(fèi)依次累加。 (3)行駛路程達(dá)到或超過(guò)9 km后(車費(fèi)達(dá)到20元),每公里加收50%的車費(fèi),車費(fèi)變成按每公里3.3元開(kāi)

27、始計(jì)費(fèi)。車暫時(shí)停止(行駛中遇紅燈或中途暫時(shí)停車)不計(jì)費(fèi),車費(fèi)保持不變。若停止則車費(fèi)清零,等待下一次計(jì)費(fèi)的開(kāi)始。2.2 基本設(shè)計(jì)思想 (1) 根據(jù)出租車計(jì)費(fèi)原理,將出租車計(jì)費(fèi)部分由5個(gè)計(jì)數(shù)器來(lái)完成分別為counterA,counterB,counterC,counterD,counterE。計(jì)數(shù)器A完成車費(fèi)百位。計(jì)數(shù)器B完成車費(fèi)十位和個(gè)位。計(jì)數(shù)器C完成車費(fèi)角和分。計(jì)數(shù)器D完成計(jì)數(shù)到30(完成車費(fèi)的起步價(jià))。計(jì)數(shù)器E完成模擬實(shí)現(xiàn)車行駛100 m的功能。 (2)行駛過(guò)程中車費(fèi)附加50%的功能:由比較器實(shí)現(xiàn)。 (3)車費(fèi)的顯示:由動(dòng)態(tài)掃描電路來(lái)完成。用專用模塊來(lái)實(shí)現(xiàn),完成數(shù)據(jù)的輸入即動(dòng)態(tài)數(shù)據(jù)的顯示。

28、(4)通過(guò)分析可以設(shè)計(jì)出系統(tǒng)的頂層框圖如圖2.1所示:圖2.1 系統(tǒng)的頂層框圖3 出租車計(jì)費(fèi)系統(tǒng)的實(shí)現(xiàn)3.1系統(tǒng)的總體框圖圖3.1 系統(tǒng)總體框圖3.2程序流程圖車開(kāi)始啟動(dòng)里程不計(jì)數(shù)里程計(jì)數(shù)里程顯示計(jì)費(fèi)器計(jì)數(shù)車費(fèi)顯示車跑完成一次后車費(fèi)、里程清零YESYESYESNONO圖3.2程序流程圖4 系統(tǒng)各功能模塊的實(shí)現(xiàn)4.1模塊JIFEI的實(shí)現(xiàn) 圖4.1 模塊JIFEI模塊JIFEI見(jiàn)圖4.1。輸入端口START、STOP、PAUSE、JS分別為汽車起動(dòng)、停止、暫停、加速按鍵。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsi

29、gned.all;entity jifei is port (clk,start,stop,pause,js:in std_logic;chefei,luc:out integer range 0 to 8000);end jifei;architecture rtl of jifei isbeginprocess(clk,start,stop,pause,js)variable a,b:std_logic;variable aa:integer range 0 to 100;variable chf,lc:integer range 0 to 8000;variable num:intege

30、r range 0 to 9; beginif(clk'event and clk='1')thenif(stop='0')thenchf:=0;num:=0;b:='1'aa:=0;lc:=0;elsif(start='0')thenb:='0'chf:=700;lc:=0;elsif(start='1' and js='1'and pause='1')thenif(b='0')thennum:=num+1;end if;if(num=9)t

31、henlc:=lc+5;num:=0;aa:=aa+5;end if; elsif(start='1'and js='0'and pause='1')thenlc:=lc+1;aa:=aa+1;end if;if(aa>=100)thena:='1'aa:=0;elsea:='0'end if;if(lc<300)thennull;elsif(chf<2000 and a='1')thenchf:=chf+220;elsif(chf>=2000 and a='1

32、9;)thenchf:=chf+330;end if;end if;chefei<=chf;luc<=lc;end process;end rtl;4.2 模塊X的實(shí)現(xiàn)模塊X見(jiàn)圖4.2。該模塊把車費(fèi)和路程轉(zhuǎn)化為4位十進(jìn)制數(shù),daclk的頻率要比clk快得多圖4.2 模塊Xlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity x isport(daclk:in std_logic;ascore,bscore:in integer range 0 to 8000;age,ashi,

33、abai,aqian,bge,bshi,bbai,dqian:out std_logic_vector(3 downto 0);end x ;architecture rtl of x isbegin process(daclk,ascore) variable comb1:integer range 0 to 8000;variable comb1a,comb1b,comb1c,comb1d:std_logic_vector(3 downto 0);begin if(daclk'event and daclk='1')then if(comb1<ascore)t

34、henif(comb1a=9 and comb1b=9 and comb1c=9)thencomb1a:="0000"comb1b:="0000"comb1c:="0000"comb1d:=comb1d+1;comb1:=comb1+1;elsif(comb1a=9 and comb1b=9)thencomb1a:="0000"comb1b:="0000"comb1:=comb1+1;comb1c:=comb1c+1;elsif(comb1a=9)thencomb1a:="0000&q

35、uot;comb1b:= comb1b+1;comb1:= comb1+1;elsecomb1a:= comb1a+1;comb1:= comb1+1;end if;elseashi<= comb1b;age<= comb1a;abai<= comb1c;aqian<= comb1d;comb1:=0;comb1a:="0000"comb1b:="0000"comb1c:="0000"comb1d:="0000"end if;end if;end process;process(daclk,

36、bscore)variable comb2:integer range 0 to 8000;variable comb2a,comb2b, comb2c,comb2d:std_logic_vector(3 downto 0);beginif(daclk'event and daclk='1')thenif(comb2<bscore)thenif(comb2a=9 and comb2b=9 and comb2c=9)thencomb2a:="0000"comb2b:="0000"comb2c:="0000"

37、comb2d:=comb2d+1;comb2:=comb2+1;elsif(comb2a=9 and comb2b=9)thencomb2a:="0000"comb2b:="0000"comb2:= comb2+1;comb2c:= comb2c+1;elsif(comb2a=9)thencomb2a:="0000"comb2b:=comb2b+1;comb2:=comb2+1;elsecomb2a:= comb2a+1;comb2:= comb2+1;end if;elsebshi<=comb2b;bge<=comb2a

38、;bbai<=comb2c;bqian<=comb2d;comb2:=0;comb2a:="0000"comb2b:="0000"comb2c:="0000"comb2d:="0000"end if;end process;end rtl;4.3 模塊XXX1的實(shí)現(xiàn)模塊XXX1見(jiàn)圖3.5。經(jīng)過(guò)該八進(jìn)制模塊將車費(fèi)和路程顯示出來(lái)。 圖4.3 模塊XXX1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti

39、ty XXX1 isport(c:in std_logic_vector(2 downto 0);dp:out std_logic;a1,a2,a3,a4,b1,b2,b3,b4:in std_logic_vector(3 downto 0);d:out std_logic_vector(3 downto 0);end XXX1;architecture rtl of xxx1 isbegin process(c,a1,a2,a3,a4,b1,b2,b3,b4);variable comb:std_logic_vector(2 downto o);begin comb:=c;case comb

40、 iswhen”000”=>d<=a1 ; dp<=0;when”001”=>d<=a2 ; dp<=0;when”010”=>d<=a3 ; dp<=1;when”011”=>d<=a4 ;dp<=0;when”100”=>d<=b1 ;dp<=0;when”101”=>d<=b2 ;dp<=0;when”110”=>d<=b3 ;dp<=1;when”111”=>d<=b4;dp<=0;when others=>null;end case;e

41、nd process;end rtl;4.4模塊SE的實(shí)現(xiàn)模塊SE見(jiàn)圖4.4圖4.4模塊SE library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity se isport(clk:in std_logic;a:out std_logic_vector(2 down to 0);end se;architecture rtl of se isbeginprocess(clk)variable b:std_logic_bector(2 downto 0);begin if(clkevent and

42、 clk=1)thenif(b=”111”)thenb:=”000”;elseb:=b+1;end if;end if;a<=b;end process;end rtl;4.5 模塊DI的實(shí)現(xiàn)模塊DI見(jiàn)圖4.5圖4.5 模塊DElibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity di isport(d:in std_logic_vector(3 downto 0);q:out std_logic_vector(6 downto 0);end di;architecture rtl

43、of di isbeginprocess(d)begin case d iswhen”0000”=>q<=”0111111”;when”0001”=>q<=”0000110”;when”0010”=>q<=”1011011”;when”0011”=>q<=”1001111”;when”0100”=>q<=”1100110”;when”0101”=>q<=”1101101”;when”0110”=>q<=”1111101”;when”0111”=>q<=”0100111”;when”1000”=>

44、;q<=”1101111”;when others=>q<=”1101111”end case;end process;end rtl; 5 系統(tǒng)仿真 5.1 模塊X的仿真結(jié)果將車費(fèi)和路程轉(zhuǎn)換成4位的十進(jìn)制如圖5.1所示:輸入端為:Daclk, ascore, bscore輸出端為:Age, ashi, aqian, abai, bge, bshi, bqian, bbai; 圖5.1 模塊X仿真波形圖5.2 模塊JIFEI的仿真結(jié)果該模塊是模擬汽車的啟動(dòng)、停止、暫停、加速按鍵,如圖5.2所示:輸入端口為:stop, start, pause, js; 輸出端口為:clk, b;圖5.2 模塊JIFEI仿真波形圖5.3 模塊XXX1的仿真結(jié)果該模塊是將車費(fèi)和路程顯示出來(lái)如圖5.3所示

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論