基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)_第1頁(yè)
基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)_第2頁(yè)
基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)_第3頁(yè)
基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)_第4頁(yè)
基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、河南科技學(xué)院河南科技學(xué)院 20142014 屆本科畢業(yè)論文(設(shè)計(jì))屆本科畢業(yè)論文(設(shè)計(jì))設(shè)計(jì)題目設(shè)計(jì)題目: :基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)學(xué)生姓名:學(xué)生姓名: 所在院系:所在院系: 所學(xué)專業(yè):所學(xué)專業(yè): 導(dǎo)師姓名:導(dǎo)師姓名: 完成時(shí)間:完成時(shí)間: 2014-5-102014-5-10 基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)基于單片機(jī)的智能路燈控制系統(tǒng)設(shè)計(jì)摘摘 要要隨著中國(guó)現(xiàn)代化節(jié)奏的不斷加快,電子產(chǎn)品的大量應(yīng)用也導(dǎo)致電力消費(fèi)飛速地增長(zhǎng)。于此相對(duì)的另一個(gè)事實(shí)是電力資源已成為一種緊缺型資源。如何節(jié)能降耗己成為近年來(lái)世界性研究的一個(gè)熱點(diǎn)課題。目前路燈已經(jīng)成為一個(gè)城市的照

2、明和夜景美化不可分割更無(wú)可替代的一部分,而在路燈的高效節(jié)能方面,自動(dòng)路燈控制系統(tǒng)的優(yōu)劣舉足輕重。由于單片機(jī)具有集成度高,處理能力強(qiáng),可靠性高,系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,價(jià)格低廉的優(yōu)點(diǎn),因此在路燈照明工程中被廣泛應(yīng)用。本設(shè)計(jì)研究的基于單片機(jī)的智能路燈控制系統(tǒng)正是針對(duì)我國(guó)在城市照明上所存在的巨大的能源消耗而開(kāi)發(fā)出的新型節(jié)能控制系統(tǒng)。本文給出了智能路燈控制系統(tǒng)的架構(gòu)和設(shè)計(jì)方案,詳細(xì)分析了其工作原理和以 AT89S51 為主控單元的各模塊軟硬件設(shè)計(jì)。關(guān)鍵詞:關(guān)鍵詞:智能路燈,單片機(jī),分時(shí)調(diào)壓,光線調(diào)壓DESIGN OF STREET LAMP CONTROL SYSTEM BASED ON SINGLE CHIP

3、 MICROCOMPUTERABSTRACTWith the accelerating pace of Chinas modernization, a large number of applications for electronic products also led to rapid growth in electricity consumption. Another fact is that this relative power resources has become a scarce resource type. How to saving energy has become

4、a hot topic in recent years, worldwide research.Currently street lighting has become part of a city and the beautification lighting and night indivisible more irreplaceable. In terms of energy-efficient lights, automatic street light control system merits of pivotal.Due to the microcontroller with h

5、igh integration, processing capacity, high reliability, simple structure, low-cost advantages, so the street lighting project has been widely used. The study design microcontroller-based intelligent street light control system in our country is on the existence of a huge city lighting energy consump

6、tion and the development of new energy-saving control system.This paper presents the architecture and design of intelligent street light control system, a detailed analysis of its working principle and to AT89S51 as the main control unit hardware and software design of each module.Keywords: intellig

7、ent street lighting, SCM, timeshare regulator,light regulator目目 錄錄1 緒論.11.1 設(shè)計(jì)背景.11.2 設(shè)計(jì)的意義.11.3 國(guó)內(nèi)外現(xiàn)狀分析.11.4 論文的主要內(nèi)容.22 PROTEUS仿真軟件與 KEIL.22.1 PROTEUS仿真軟件.22.2 KEIL.23 硬件設(shè)計(jì).33.1 按鍵模塊.33.2 計(jì)時(shí)模塊.33.2.1 計(jì)時(shí)模塊的軟件設(shè)計(jì).33.2.2 計(jì)時(shí)模塊的硬件設(shè)計(jì).53.3 光線強(qiáng)弱檢測(cè)模塊.53.3.1 ADC0832 綜述.53.3.2 光敏電阻的介紹.73.3.3 光線強(qiáng)弱檢測(cè)模塊的硬件電路設(shè)計(jì).83

8、.4 路燈控制模塊.93.4.1 繼電器的概述.93.4.2 PNP 型三極管的概述.93.4.3 路燈控制模塊的硬件電路設(shè)計(jì).104 軟件設(shè)計(jì).114.1 程序模塊分類及功能.114.2 程序流程圖.115 軟件測(cè)試.135.1 測(cè)試目的.135.2 測(cè)試方法.135.2.1 功能測(cè)試:.135.2.2 測(cè)試結(jié)果.136 結(jié)論.14致 謝.15參考文獻(xiàn).17附錄 1:電路原理圖.18附錄 2:重要源代碼模塊.191 1 緒論緒論本文研究的智能路燈節(jié)能控制系統(tǒng)是通過(guò)配套的功率變換組件,可在路燈的啟停和運(yùn)行中,有效的調(diào)節(jié)路燈的端電壓,控制路燈的照明亮度,從而改變了路燈在不同時(shí)段的耗電量,改善了功

9、率因素,到了節(jié)約電能的目的。1.11.1 設(shè)計(jì)背景設(shè)計(jì)背景“十二五”時(shí)期,我國(guó)仍將處于工業(yè)化和城鎮(zhèn)化快速發(fā)展階段,面臨的資源和環(huán)境形勢(shì)依然嚴(yán)峻。能源問(wèn)題已經(jīng)成為制約經(jīng)濟(jì)和社會(huì)發(fā)展的重要因素,應(yīng)當(dāng)從戰(zhàn)略和全局的高度,充分認(rèn)識(shí)做好能源工作的重要性和緊迫性,高度重視能源安全,實(shí)現(xiàn)能源的可持續(xù)發(fā)展。在北京東西部能源技術(shù)研究院的報(bào)道中有這么一篇中提到節(jié)能是緩解能源約束,減輕環(huán)境壓力,保障經(jīng)濟(jì)安全,實(shí)現(xiàn)全面建設(shè)小康社會(huì)目標(biāo)和可持續(xù)發(fā)展的必然選擇,體現(xiàn)了科學(xué)發(fā)展觀的本質(zhì)要求,是一項(xiàng)長(zhǎng)期的戰(zhàn)略任務(wù),必將擺在更加突出的戰(zhàn)略位置。城市亮化作為形象工程的重要組成部分,越來(lái)越被政府所重視,大量的資金投入進(jìn)行建設(shè)和改造

10、,使得我們的城市夜晚變得燈火輝煌,絢麗多彩,但問(wèn)題也隨之而來(lái),能耗的逐年攀升,由此產(chǎn)生的某些問(wèn)題亦逐漸顯露出來(lái)。這些問(wèn)題的產(chǎn)生無(wú)疑給當(dāng)?shù)氐穆窡艄芾聿块T的各方面工作帶來(lái)很大的壓力,急切加以解決。尤其是在當(dāng)前環(huán)境條件每況愈下的形勢(shì)下,低碳、節(jié)能、環(huán)保越來(lái)越收到人們的重視。舊式的控制系統(tǒng)存在功耗大,公共資源得不到充分應(yīng)用,效率低等消極影響。伴隨著路燈數(shù)量的急劇增加,照明系統(tǒng)中所存在的問(wèn)題也日益突出,耗電量大就是其中最為突出的一個(gè)。 所以,對(duì)城市的路燈的設(shè)計(jì)已經(jīng)成為了當(dāng)務(wù)之急。1.21.2 設(shè)計(jì)的意義設(shè)計(jì)的意義路燈是我國(guó)經(jīng)濟(jì)發(fā)展和國(guó)家建設(shè)中必需的用電設(shè)備,它在我國(guó)的整體用電量中所占比例巨大,如果通過(guò)節(jié)

11、能裝置對(duì)其進(jìn)行有效控制,就能夠降低電力損耗,達(dá)到節(jié)約能源,降低生產(chǎn)安裝成本,有助于我國(guó)經(jīng)濟(jì)的快速發(fā)展。1.31.3 國(guó)內(nèi)外現(xiàn)狀分析國(guó)內(nèi)外現(xiàn)狀分析目前,路燈照明廣泛采用高壓鈉燈,其設(shè)計(jì)壽命在12000小時(shí)以上,在正常情況下至少可用3年,但是由于超壓使用,現(xiàn)在路燈的使用壽命僅僅只有1年左右,有的甚至只有幾個(gè)月,造成維護(hù)和材料的極大浪費(fèi)。較高的電壓不僅不能讓負(fù)載設(shè)備更好的工作,而且還會(huì)造成發(fā)熱及過(guò)早損壞,還會(huì)造成不必要的電費(fèi)開(kāi)支。 而且,我國(guó)絕大多數(shù)地區(qū)的路燈關(guān)開(kāi)燈都是采用人工控制或者定時(shí)控制,這樣也有許多不利之處:若采用人工控制,則路燈開(kāi)關(guān)存在著一定的不確定性,同時(shí)也占用了一定的人力資源。定時(shí)控制

12、則存在著夏冬季白黑晝時(shí)間不同的情況,使得天還沒(méi)黑路燈就開(kāi),天還沒(méi)亮路燈就滅的情況,大大影響了人們的日常出生活。本設(shè)計(jì)通過(guò)使用AT89C51單片機(jī)對(duì)系統(tǒng)進(jìn)行智能控制,使系統(tǒng)達(dá)到自動(dòng)啟停及智能調(diào)壓。近年來(lái),隨著科技的不斷發(fā)展,各種路燈控制器也被不斷的研究出來(lái)。1.1.4 4 論文的主要內(nèi)容論文的主要內(nèi)容本設(shè)計(jì)可以通過(guò)對(duì)外界光線和電壓信號(hào)的采集來(lái)控制路燈的自動(dòng)啟停以及智能調(diào)壓從而減少城市路燈照明耗電量,又對(duì)輸入電壓進(jìn)行穩(wěn)壓調(diào)節(jié)來(lái)提高用電效率。主要內(nèi)容如下: (1) 利用單片機(jī),對(duì)整個(gè)路燈系統(tǒng)進(jìn)行研究與設(shè)計(jì)。 (2) 針對(duì)光線和電壓信號(hào)的采集,采用數(shù)據(jù)采集技術(shù)。 (3) 通過(guò)按鍵可對(duì)相關(guān)的參數(shù)值進(jìn)行設(shè)

13、置,從而實(shí)現(xiàn)對(duì)不同時(shí)間進(jìn)行不同的開(kāi)燈模式。 (4) 當(dāng)電壓符合額定電壓時(shí),系統(tǒng)自動(dòng)進(jìn)行穩(wěn)壓。 (5) 在午夜之后降低電壓以調(diào)節(jié)路燈亮度,實(shí)現(xiàn)調(diào)壓。2 2 ProteusProteus 仿真軟件與仿真軟件與 KeilKeil2.12.1 ProteusProteus仿真軟件仿真軟件Proteus 軟件是英國(guó) Lab Center Electronics 公司出版的 EDA 工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司) 。它不僅具有其它 EDA 工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前比較好的仿真單片機(jī)及外圍器件的工具。雖然目前國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛(ài)好者、從事單片

14、機(jī)教學(xué)的教師以及致力于單片機(jī)開(kāi)發(fā)應(yīng)用的科技工作者的青睞。Proteus 從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真都能方便的實(shí)現(xiàn),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目前世界上唯一將電路仿真軟件、PCB 設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持 8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086 和 MSP430等,2010 年又增加了 Cortex 和 DSP 系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持 IAR、Keil 和 MATLAB 等多種編譯器。2.22.2 KeilKeilKeil C

15、51 是美國(guó) Keil Software 公司出品的 51 系列兼容單片機(jī) C 語(yǔ)言軟件開(kāi)發(fā)系統(tǒng),與匯編相比,C 語(yǔ)言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢(shì),因而易學(xué)易用。Keil 提供了包括 C 編譯器、宏匯編、連接器、庫(kù)管理和一個(gè)功能強(qiáng)大的仿真調(diào)試器等在內(nèi)的完整開(kāi)發(fā)方案,通過(guò)一個(gè)集成開(kāi)發(fā)環(huán)境(uVision)將這些部分組合在一起。運(yùn)行 Keil 軟件需要WIN98、NT、WIN2000、WINXP 等操作系統(tǒng)。3 3 硬件設(shè)計(jì)硬件設(shè)計(jì)3.13.1 按鍵模塊按鍵模塊鍵盤模塊的硬件電路設(shè)計(jì)如圖 3-1 所示。K1、K2、K3、K4 分別同P3.0、P3.1、P3.2、P3.3 相連,

16、當(dāng) S2、S3、S4、S5 任意一個(gè)鍵按下時(shí),同它相連的端口電壓則被拉低。在此設(shè)計(jì)中,若在時(shí)間調(diào)整模式下 S2 設(shè)置為“加1”功能鍵,S3 設(shè)置為“減 1”功能鍵;若在手動(dòng)操作模式下 S2 設(shè)置為“開(kāi)”功能鍵,S3 設(shè)置為“關(guān)”功能鍵。S4 為功能切換鍵,實(shí)現(xiàn)運(yùn)行模式、時(shí)間調(diào)整模式和退出模式的切換。S5 為運(yùn)行模式下實(shí)現(xiàn)“自動(dòng)” 、 “手動(dòng)”的切換。圖圖 3-13-1 鍵盤電路鍵盤電路3.23.2 計(jì)時(shí)模塊計(jì)時(shí)模塊3.2.1 計(jì)時(shí)模塊的軟件設(shè)計(jì)DS1302 是 DALLAS 公司推出的涓流充電時(shí)鐘芯片,內(nèi)含有一個(gè)實(shí)時(shí)時(shí)鐘/日歷和 31 字節(jié)靜態(tài) RAM 通過(guò)簡(jiǎn)單的串行接口與單片機(jī)進(jìn)行通信。實(shí)時(shí)

17、時(shí)鐘/日歷電路提供秒、分、時(shí)、日、星期、月、年的信息,每月的天數(shù)和閏年的天數(shù)可自動(dòng)調(diào)整,時(shí)鐘操作可通過(guò) AM/PM 指示決定采用 24 或 12 小時(shí)格式。DS1302與單片機(jī)之間能簡(jiǎn)單地采用同步串行的方式進(jìn)行通信,僅需用到三個(gè)口線:RES復(fù)位 、I/O 數(shù)據(jù)線和 SCLK 串行時(shí)鐘。時(shí)鐘/RAM 的讀/寫數(shù)據(jù)以一個(gè)字節(jié)或多達(dá) 31 個(gè)字節(jié)的字符組方式通信。DS1302 工作時(shí)功耗很低,保持?jǐn)?shù)據(jù)和時(shí)鐘信息時(shí)功率小于 1mW,其外部管腳如圖 3-2 所示。圖圖 3-23-2 DS1302DS1302 外部管腳外部管腳DS1302 是由 DS1202 改進(jìn)而來(lái)增加了以下的特性:雙電源管腳用于主電源

18、和備份電源,供應(yīng) Vcc1 為可編程涓流充電電源;附加七個(gè)字節(jié)存儲(chǔ)器,它廣泛應(yīng)用于電話、傳真、便攜式儀器以及電池供電的儀器儀表等產(chǎn)品領(lǐng)域;實(shí)時(shí)時(shí)鐘具有能計(jì)算 2100 年之前的秒、分、時(shí)、日、日期、星期、月、年的能力,還有閏年調(diào)整的能力。DS1302 內(nèi)部結(jié)構(gòu)為移位寄存器,控制邏輯,晶振,時(shí)鐘和RAM。具體控制字介紹: 控制字的最高有效位(位 7)必須是邏輯 1,如果它為 0,則不能把數(shù)據(jù)寫入到 DS1302 中; 位 6:如果為 0,則表示存取日歷時(shí)鐘數(shù)據(jù),為 1 表示存取 RAM 數(shù)據(jù); 位 5 至位 1(A4A0):指示操作單元的地址; 位 0(最低有效位):如為 0,表示要進(jìn)行寫操作,

19、為 1 表示進(jìn)行讀操作。控制字總是從最低位開(kāi)始輸出,在控制字指令輸入后的下一個(gè) SCLK 時(shí)鐘的上升沿時(shí),數(shù)據(jù)被寫入 DS1302,數(shù)據(jù)輸入從最低位(0 位)開(kāi)始。同樣,在緊跟 8 位的控制字指令后的下一個(gè) SCLK 脈沖的下降沿,讀出 DS1302 的數(shù)據(jù),讀出的數(shù)據(jù)也是從最低位到最高位。具體時(shí)序圖如圖 3-3 所示。圖圖 3-33-3 DS1302DS1302 時(shí)序圖時(shí)序圖3.2.2 計(jì)時(shí)模塊的硬件設(shè)計(jì)具體電路如下圖 3-4 所示。BT1 為電壓值 3V 的電池組,來(lái)作為 DS1302 的備用電源。Y2 為一個(gè) 32.768 KHz 的晶振。DS1302 的 5、6、7 端分別同單片機(jī)的

20、P2.1、P2.2、P2.3 相連,同時(shí)在還為它們配置了阻值為 10K 的上拉電阻,能最大程度的保證操作與通訊的準(zhǔn)確和穩(wěn)定。圖圖 3-43-4 實(shí)時(shí)時(shí)鐘模塊電路實(shí)時(shí)時(shí)鐘模塊電路3.33.3 光線強(qiáng)弱檢測(cè)模塊光線強(qiáng)弱檢測(cè)模塊3.3.1 ADC0832綜述ADC0832 為8位分辨率A/D轉(zhuǎn)換芯片,其最高分辨可達(dá)256級(jí),可以適應(yīng)一般的模擬量轉(zhuǎn)換要求。其內(nèi)部電源輸入與參考電壓的復(fù)用,使得芯片的模擬電壓輸入在0-5V之間。芯片轉(zhuǎn)換時(shí)間僅為32S,據(jù)有雙數(shù)據(jù)輸出可作為數(shù)據(jù)校驗(yàn),以減少數(shù)據(jù)誤差,轉(zhuǎn)換速度快且穩(wěn)定性能強(qiáng)。獨(dú)立的芯片使能輸入,使多器件掛接和處理器控制變的更加方便。通過(guò)DI 數(shù)據(jù)輸入端,可以輕

21、易的實(shí)現(xiàn)通道功能的選擇。ADC0832引腳分布如圖3-5所示。圖圖3-53-5 ADC0832ADC0832引腳分布圖引腳分布圖ADC0832有以下特點(diǎn): 8位分辨率; 逐次逼近式A/D轉(zhuǎn)換器; 雙通道A/D轉(zhuǎn)換; 輸入輸出電平與TTL/CMOS相兼容; 5V電源供電時(shí)輸入電壓在05V之間; 工作頻率為250KHZ,轉(zhuǎn)換時(shí)間為32S; 一般功耗僅為15mW; 8P、14PDIP(雙列直插) 、PICC 多種封裝。芯片接口說(shuō)明: CS片選使能,低電平芯片使能; CH0模擬輸入通道0,或作為IN+/-使用; CH1模擬輸入通道1,或作為IN+/-使用; GND芯片參考0電位(地) ; DI數(shù)據(jù)信號(hào)

22、輸入,選擇通道控制; DO數(shù)據(jù)信號(hào)輸出,轉(zhuǎn)換數(shù)據(jù)輸出; CLK芯片時(shí)鐘輸入; VCC/REF電源輸入及參考電壓輸入(復(fù)用) 。單片機(jī)對(duì)ADC0832的控制原理:正常情況下ADC0832與單片機(jī)的接口應(yīng)為4條數(shù)據(jù)線,分別是CS、CLK、DO、DI。但由于DO端與DI端在通信時(shí)并未同時(shí)有效并與單片機(jī)的接口是雙向的,所以電路設(shè)計(jì)時(shí)可以將DO和DI 并聯(lián)在一根數(shù)據(jù)線上使用。當(dāng)ADC0832未工作時(shí)其CS輸入端應(yīng)為高電平,此時(shí)芯片禁用,CLK和DO/DI的電平可任意。當(dāng)要進(jìn)行A/D轉(zhuǎn)換時(shí),須先將CS使能端置于低電平并且保持低電平直到轉(zhuǎn)換完全結(jié)束。此時(shí)芯片開(kāi)始轉(zhuǎn)換工作,同時(shí)由處理器向芯片時(shí)鐘輸入端CLK輸

23、入時(shí)鐘脈沖,DO/DI端則使用DI端輸入通道功能選擇的數(shù)據(jù)信號(hào)。在第1個(gè)時(shí)鐘脈沖的下沉之前DI端必須是高電平,表示啟始信號(hào)。在第2、3個(gè)脈沖下沉之前DI端應(yīng)輸入2位數(shù)據(jù)用于選擇通道功能,其時(shí)序圖如圖3-6所示。圖圖3-63-6 ADC0832ADC0832操作時(shí)序圖操作時(shí)序圖3.3.2 光敏電阻的介紹(1)光敏電阻介紹光敏電阻(photocell,注意:光電池是 photovoltaic cell)又稱光敏電阻器(photoresistor or light-dependent resistor,后者縮寫為 ldr)或光導(dǎo)管(photoconductor) ,常用的制作材料為硫化鎘,另外還有硒

24、、硫化鋁、硫化鉛和硫化鉍等材料。這些制作材料具有在特定波長(zhǎng)的光照射下,其阻值迅速減小的特性。這是由于光照產(chǎn)生的載流子都參與導(dǎo)電,在外加電場(chǎng)的作用下作漂移運(yùn)動(dòng),電子奔向電源的正極,空穴奔向電源的負(fù)極,從而使光敏電阻器的阻值迅速下降。(2)基本特性及其土要參數(shù)1暗電阻、亮電阻暗電流、暗電阻。光敏電阻在一定的外加電壓下,當(dāng)沒(méi)有光照射的時(shí)候,流過(guò)的電流稱為暗電流。外加電壓與暗電流之比稱為暗電阻,常用“0LX”表示。2伏安特性伏安特性曲線用來(lái)描述光敏電阻的外加電壓與光電流的關(guān)系,對(duì)于光敏器件來(lái)說(shuō),其光電流隨外加電壓的增大而增大。其變化特征如圖 3-7 所示。圖圖3-73-7 a a 光敏電阻的伏安特性光

25、敏電阻的伏安特性 b b 光敏電阻的光電特性圖光敏電阻的光電特性圖 c c 光敏電阻的光譜特性光敏電阻的光譜特性(3)光電特性光敏電阻器在一定的外加電壓下,當(dāng)有光照射時(shí),流過(guò)的電流稱為光電流,外加電壓與光電流之比稱為亮電阻,常用“100LX”表示。3.3.3 光線強(qiáng)弱檢測(cè)模塊的硬件電路設(shè)計(jì)在此設(shè)計(jì)中我們使用光敏電阻和 ADC0832 模數(shù)轉(zhuǎn)換器相結(jié)合的方式來(lái)實(shí)現(xiàn)對(duì)環(huán)境明暗程度的檢測(cè)。所選光敏電阻 GMR1 的亮電阻阻值在 2K 至 10K 之間變化,所以選擇阻值為 10K 的電阻 R8 同光敏電阻串聯(lián)連接形成分壓電路。環(huán)境明暗程度的檢測(cè)的原理如下:當(dāng)照射在光敏電阻上的光線亮度發(fā)生變化時(shí),光敏電

26、阻的阻值也隨之相應(yīng)的發(fā)生變化,其變化趨勢(shì)為光線變強(qiáng)阻值減小,反之也成立,而 ADC0832 的通道 0 得到的電壓值隨光線的變強(qiáng)而減小,ADC0832 將得到的電壓信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),并通過(guò)特定的操作送給單片機(jī)進(jìn)行處理。如圖圖 3-103-10 環(huán)境明暗檢測(cè)模塊電路環(huán)境明暗檢測(cè)模塊電路次便現(xiàn)對(duì)環(huán)境明暗程度信號(hào)的向模擬信號(hào)轉(zhuǎn)換,最終向數(shù)字信號(hào)的轉(zhuǎn)換,也就使系統(tǒng)能對(duì)環(huán)境明暗程度信號(hào)間接的進(jìn)行相應(yīng)的分析并處理。具體電路如圖 3-10 所示。關(guān)于模數(shù)轉(zhuǎn)換器的選擇:通常使用的模數(shù)轉(zhuǎn)換器是 ADC0809,它是帶有 8 位 A/D 轉(zhuǎn)換器、8 路多路開(kāi)關(guān)以及微處理機(jī)兼容的控制邏輯的 CMOS 逐次逼近式

27、A/D 轉(zhuǎn)換器。另需接外部時(shí)鐘才能正常工作,8 路通道只取其一也造成了資源的浪費(fèi)。同單片機(jī)通訊時(shí)使用的是并行的方式,這樣也對(duì)單片機(jī)的端口占用很大。另外其體積也較大,價(jià)格也較 ADC0832 昂貴,這樣也變相的增加的印制板電路制作和元器件采購(gòu)的成本。綜合考慮下,ADC0832 的使用最合適。3.43.4 路燈控制模塊路燈控制模塊3.4.1 繼電器的概述繼電器(英文名稱:relay)是一種電控制器件,是當(dāng)輸入量(激勵(lì)量)的變化達(dá)到規(guī)定要求時(shí),在電氣輸出電路中使被控量發(fā)生預(yù)定的階躍變化的一種電器。它具有控制系統(tǒng)(又稱輸入回路)和被控制系統(tǒng)(又稱輸出回路)之間的互動(dòng)關(guān)系。通常應(yīng)用于自動(dòng)化的控制電路中,

28、它實(shí)際上是用小電流去控制大電流運(yùn)作的一種“自動(dòng)開(kāi)關(guān)” 。故在電路中起著自動(dòng)調(diào)節(jié)、安全保護(hù)、轉(zhuǎn)換電路等作用。3.4.2 PNP型三極管的概述9015 是一種常用的普通三極管。它是一種小電壓,小電流,小信號(hào)的 PNP 型硅三極管。其物理結(jié)構(gòu)如下圖 3-11 所示。其中引腳 1 為發(fā)射極,引腳 2 為基極,引腳 3為集電極。圖圖3-113-11 90159015實(shí)物圖實(shí)物圖(1)特性 集電極-發(fā)射極電壓-45V 集電極-基電壓-50V 射極-基極電壓-5V 集電極電流 0.1A 耗散功率 0.45W 結(jié)溫 150 特征頻率平均 300MHZ 放大倍數(shù):A60150、B100300、C200600、D

29、4001000(2)主要用途分開(kāi)關(guān)應(yīng)用和射頻放大兩大類。在此設(shè)計(jì)中主要應(yīng)用于開(kāi)關(guān)應(yīng)用,選用的 C 型號(hào)的 9015。3.4.3 路燈控制模塊的硬件電路設(shè)計(jì)圖圖 3-123-12 路燈控制模塊電路路燈控制模塊電路如上圖 3-12 所示,Q1 為 PNP 性三極管 9015;U2 為繼電器,型號(hào)為 JQC-3F;H5 為接線座;D2 為續(xù)流二極管,型號(hào)為 IN4001;D3 為發(fā)光二極管。設(shè)計(jì)思路:9015的基極通過(guò)阻值為4.7K的電阻與AT89S52的P1.0相連。當(dāng)該位置1 ,也即為5V高電平時(shí),發(fā)射極1同基極2之間沒(méi)有電壓差,此時(shí)9015處于斷開(kāi)狀態(tài)。如此集電極沒(méi)有電流通過(guò),所以下面的繼電器

30、線圈和發(fā)光二極管D3不工作。當(dāng)該位被拉至0 ,也即0V低電平時(shí),發(fā)射極1同基極2之間有電壓值大約4.3V的電壓差降,通過(guò)4.7K的電阻限流后,通過(guò)P1.0口的灌電流就很小,此電流也為基極電流?;鶚O電流通過(guò)9015的放大后,是9015工作在飽和狀態(tài),集電極有足夠大的電流可以驅(qū)動(dòng)繼電器的線圈工作,使U2的1和3引腳構(gòu)成的常開(kāi)觸點(diǎn)閉合,1和2引腳構(gòu)成的常閉觸點(diǎn)斷開(kāi)。同時(shí)因?yàn)?015工作在飽和狀態(tài),發(fā)射極和集電極的電壓降大約0.2V,集電極的電壓約為4.8V,因此發(fā)光二極管串聯(lián)一阻值為1K的電阻限流,使通過(guò)其電流為5mA左右而點(diǎn)亮。繼電器中的線圈在關(guān)斷的瞬間由于電磁感應(yīng)現(xiàn)象的存在,會(huì)產(chǎn)生比原電壓值大多

31、倍的反向電壓,如果沒(méi)有續(xù)流二極管D2讓關(guān)斷瞬間保持原電流方向的電流構(gòu)成回路,以消耗線圈中存儲(chǔ)的電能,將會(huì)反向擊穿9015從而可能造成器件損毀。這是不被允許的,所以加一耐壓大于5V(IN4001耐壓值為1000V)的續(xù)流二極管即可避免此類情況的發(fā)生,這樣可以保證所設(shè)計(jì)系統(tǒng)安全穩(wěn)定的運(yùn)行。4 4 軟件設(shè)計(jì)軟件設(shè)計(jì)本系統(tǒng)軟件設(shè)計(jì)采用了目前廣泛使用的MCS-51單片機(jī)高級(jí)語(yǔ)言keil-C51作為軟件開(kāi)發(fā)工具,它表達(dá)能力強(qiáng)、可移植性好、便于進(jìn)行結(jié)構(gòu)化程序設(shè)計(jì)可以直接操作計(jì)算機(jī)硬件,對(duì)速度要求不是很高的應(yīng)用場(chǎng)合是一種十分理想的開(kāi)發(fā)工具。4.14.1 程序模塊分類及功能程序模塊分類及功能主程序部分:主程序主

32、要完成對(duì)系統(tǒng)資源的配置,各功能模塊的調(diào)用以及外界信息的處理。(1)LCD1602操作程序部分:顯示時(shí)間信息,控制狀態(tài)信息以及工作狀態(tài)。(2)ADC0832操作程序部分:對(duì)光信號(hào)進(jìn)行采集,轉(zhuǎn)化為電信號(hào)進(jìn)而交給系統(tǒng)進(jìn)行處理。(3)DS1302操作程序部分:通過(guò)鍵盤操作可完成對(duì)時(shí)間,運(yùn)行狀態(tài)路燈工作狀態(tài)的調(diào)整。(4)定時(shí)中斷程序部分:對(duì)時(shí)間進(jìn)行計(jì)數(shù),進(jìn)而改變標(biāo)志位,系統(tǒng)可通過(guò)標(biāo)志位的改變就行各種運(yùn)作。(5)外部中斷程序:發(fā)生中斷時(shí),完成對(duì)某個(gè)標(biāo)志字節(jié)改變,以便后續(xù)的操作通過(guò)判斷標(biāo)志字節(jié)的狀態(tài)來(lái)決定進(jìn)行相應(yīng)的動(dòng)作。4.24.2 程序流程圖程序流程圖軟件從某種程度上大體可分為主程序和中斷服務(wù)程序兩部分。

33、主程序完成對(duì)運(yùn)行環(huán)境的初始化和以一定的邏輯及方式對(duì)各個(gè)模塊的程序進(jìn)行調(diào)用,同時(shí)對(duì)某些系統(tǒng)硬件資源進(jìn)行配置,從而達(dá)到預(yù)期的功能或目的。中斷程序完成特定的某個(gè)功能。如圖4-1,4-2所示,分別為外部中斷0、定時(shí)中斷0和主程序流程圖。S2、S3、S4和S5是功能按鍵,在調(diào)時(shí)狀態(tài)下,S2為加1,S3為減1在手動(dòng)模式下S2為開(kāi)燈,S3為關(guān)燈。其中S4為外部中斷0入口,也為功能切換鍵,按一次flag加1;flag為1時(shí),每按一次S5循環(huán)切換手動(dòng)和自動(dòng)運(yùn)行模式。flag為0時(shí),退出并確認(rèn)參數(shù)和功能設(shè)定。 圖圖 4-14-1 a a 外部中斷外部中斷 0 0 子程序流程圖子程序流程圖 b b 定時(shí)器定時(shí)器 0

34、 0 子程序流程子程序流程主程序流程圖如圖 4-2 所示。圖圖 4-24-2 主程序流程圖主程序流程圖Flag 為 1 時(shí),S5 切換運(yùn)行模式液晶顯示 Auto 或 Manu;flag 為 2 或 3,液外部中斷0入口flag=10?關(guān)外部中斷0開(kāi)外部中斷0返回flag=0flag=flag+1YesNoYesNo定時(shí)中斷0入口裝載初值返回count=0a=1count=count+1count=100?開(kāi)始初始化flag=0?自動(dòng)運(yùn)行模式Y(jié)esfalg1=0&flag=0&action=0action=1&flag=0手動(dòng)運(yùn)行模式flag=1?手動(dòng)action=1/自

35、動(dòng)action=0模式切換并顯示YesNoNoflag=2、3、4、5、6、7、8、9調(diào)時(shí)且falg1=0并顯示S5?鍵盤掃描Yes鍵盤掃描S2或S3?falg1=1YesNoNo鍵盤掃描S2或S3?控制路燈開(kāi)關(guān)方式并顯示No時(shí)間控制?光線控制?No根據(jù)時(shí)間段控制路燈并顯示YesYesa=1?采集光線信號(hào),處理,輸出控制并顯示且a=0No晶顯示 Y 或 y,通過(guò) S2、S3 調(diào)整年份;flag 為 4,液晶顯示 M,通過(guò) S2、S3 調(diào)整月份;flag 為 5,液晶顯示 D,通過(guò) S2、S3 調(diào)整日期;flag 為 6,液晶顯示W(wǎng),通過(guò) S2、S3 調(diào)整星期;flag 為 7,液晶顯示 H,通

36、過(guò) S2、S3 調(diào)整小時(shí);flag 為 8,液晶顯示 M,通過(guò) S2、S3 調(diào)整分鐘;flag 為 9,液晶顯示 S,通過(guò)S2、S3 調(diào)整秒。在光線控制的模式下,使用定時(shí)器 T0 定時(shí) 5 秒,定時(shí)時(shí)間到后,則置a=1;將當(dāng)前存儲(chǔ)的光線信息和前一次的進(jìn)行比較,如果前后兩次的數(shù)據(jù)在允許的誤差范圍內(nèi)則根據(jù)判斷進(jìn)行下一步的操作,也即控制路燈以何種方式開(kāi)關(guān)或關(guān)斷。這樣前后有 5S10S 的時(shí)間差,也可保證該控制系統(tǒng)不會(huì)因閃電等突發(fā)狀況產(chǎn)生勿動(dòng)操作。此操作暗合數(shù)字濾波和有效性檢查的原則,使系統(tǒng)更穩(wěn)定、可靠!5 5 軟件測(cè)試軟件測(cè)試5.15.1 測(cè)試目的測(cè)試目的軟件測(cè)試是軟件生命周期中一項(xiàng)非常重要且復(fù)雜的

37、工作,對(duì)軟件可靠性保證具有極其重要的意義,通過(guò)軟件測(cè)試可以發(fā)現(xiàn)軟件設(shè)計(jì)過(guò)程中的不足之處,以便更好地完善。該設(shè)計(jì)的主要設(shè)計(jì)目的是顯示模塊能正常顯示,并能實(shí)現(xiàn)自動(dòng)或手動(dòng)對(duì)路燈工作模式的改變。5.25.2 測(cè)試方法測(cè)試方法測(cè)試方法主要是針對(duì)該設(shè)計(jì)的功能模塊進(jìn)行測(cè)試,看是否能夠正常使用,顯示是否出現(xiàn)亂碼,路燈是否按照預(yù)期結(jié)果亮滅等。5.2.1 功能測(cè)試:該設(shè)計(jì)主要路燈亮度的自動(dòng)或手動(dòng)轉(zhuǎn)換。在此對(duì)系統(tǒng)各部分功能,以及可靠性等方面進(jìn)行測(cè)試。針對(duì)本系統(tǒng),主要由進(jìn)行如表 5-1 的功能測(cè)試。表表 5-15-1 測(cè)試用例表測(cè)試用例表測(cè)試性能路燈亮度兩端電壓的變化用例目的反映路燈亮度的變化測(cè)試方法期望的結(jié)果實(shí)際情

38、況通過(guò)調(diào)試按鍵,切換路燈的各種工路燈在 3 中模式下與期望的結(jié)果作狀態(tài),并觀察路燈電壓的變化出現(xiàn)不同的電壓情況一致5.2.2 測(cè)試結(jié)果首先測(cè)試半電壓模式(省電模式) ,調(diào)試后出現(xiàn)圖 5-1 運(yùn)行結(jié)果。圖圖 5-15-1 半電壓模式半電壓模式此時(shí)模擬路燈兩端電壓是 2.2v。而后調(diào)試程序到全電壓工作模式,運(yùn)行結(jié)果如圖 5-2。圖圖 5-25-2 全電壓工作模式全電壓工作模式此時(shí)模擬路燈兩端電壓為 4.4v,及達(dá)到了預(yù)期的結(jié)果。最后再測(cè)試關(guān)閉模式,結(jié)果如圖 5-3 所示。圖圖 5-35-3 關(guān)閉模式關(guān)閉模式測(cè)試結(jié)果與預(yù)期設(shè)想一致,達(dá)到了預(yù)期效果。6 6 結(jié)論結(jié)論智能路燈控制系統(tǒng)的研究在國(guó)內(nèi)外都在持

39、續(xù)進(jìn)行。眾所周知,路燈在生活中普遍存在。在龐大的基數(shù)面前,優(yōu)秀的路燈管理系統(tǒng)往往能制造出巨大的經(jīng)濟(jì)效益并且能節(jié)省大量電力資源。因此,智能路燈節(jié)能控制系統(tǒng)的研制對(duì)于構(gòu)建節(jié)能型社會(huì)有著重要的意義。這次設(shè)計(jì)的智能路燈控制系統(tǒng),從光線強(qiáng)度、時(shí)間等方面入手,通過(guò)對(duì)這些變量的檢測(cè)與處理,實(shí)現(xiàn)了光線、時(shí)間、人工對(duì)輸入路燈電壓的綜合調(diào)節(jié)。雖然本設(shè)計(jì)能夠在一定程度上實(shí)現(xiàn)對(duì)路燈的智能控制與調(diào)節(jié),但是其本身仍然存在很多問(wèn)題,比如:沒(méi)有在具體的電路板上進(jìn)行試驗(yàn),缺乏實(shí)踐的檢驗(yàn);成本過(guò)高等。致謝致謝經(jīng)過(guò)長(zhǎng)時(shí)間的努力,我的畢業(yè)設(shè)計(jì)終于完成了。但是坦白的說(shuō),這個(gè)設(shè)計(jì)不是完美的,還有很多的地方需要完善和改進(jìn)。在設(shè)計(jì)的過(guò)程中雖

40、然辛苦,但是辛苦中也包含著快樂(lè)。特別是在編程的時(shí)候,每想到一個(gè)好的思路,好的方法,無(wú)不激動(dòng)不已。調(diào)試程序的時(shí)候,經(jīng)常會(huì)出現(xiàn)山窮水盡疑無(wú)路,柳暗花明又一村的情況,身心疲憊的自己頓時(shí)又振作起來(lái)。最后,我由衷地感謝我的母校河南科技學(xué)院,她為我們的學(xué)習(xí)成長(zhǎng)創(chuàng)造了如此好的環(huán)境。我由衷地感謝師長(zhǎng)們對(duì)我默默無(wú)聞的幫助及潛移默化的影響,為我將來(lái)的發(fā)展奠定了堅(jiān)實(shí)的基礎(chǔ);我還要由衷地感謝身邊的同學(xué)對(duì)我的無(wú)私關(guān)懷與支持,給我大量的寶貴意見(jiàn)。總之,我的畢業(yè)設(shè)計(jì)能夠完成,無(wú)不凝聚了各位老師的大量辛勤的汗水。老師,您辛苦了!參考文獻(xiàn)參考文獻(xiàn)1朱家義.C 語(yǔ)言程序設(shè)計(jì)實(shí)例教程M.北京:清華大學(xué)出版社.20092賈振國(guó).DS

41、1820 及高精度溫度測(cè)量的實(shí)現(xiàn)J.北京:電子技術(shù)應(yīng)用.20003余永權(quán).單片機(jī)原理及應(yīng)用M. 北京:電子工業(yè)出版社.19974袁占生,白瑞鋒,楊像閩.電氣照明 1-i 能技術(shù)研究J.內(nèi)蒙古民族師院學(xué)報(bào)(自然科學(xué)版).20005胡興軍.發(fā)展中的智能照明系統(tǒng)J.光源與照明.2004 6仇齊武.關(guān)于照明節(jié)能的措施和方法討論.北京:電子技術(shù)應(yīng)用.2000 7景春國(guó),顧德英,舒冬梅.基于 C+Builder 的城市路燈無(wú)線數(shù)傳監(jiān)控軟件設(shè)計(jì)J.工業(yè)控制計(jì)算機(jī).2003,8張開(kāi)羽,劉驪,呂楊.基于 DALI 協(xié)議的智能照明系統(tǒng)設(shè)計(jì)J.電子工程師.2004 9謝子殿,朱秀.基于單片機(jī)控制的智能化路燈節(jié)能裝置

42、的設(shè)計(jì)J.微計(jì)算機(jī)信息.200510余發(fā)山.單片機(jī)原理及應(yīng)用技術(shù)M. 徐州:中國(guó)礦業(yè)大學(xué)出版社.200311賈振國(guó). DS1820 及高精度溫度測(cè)量的實(shí)現(xiàn)J.電子技術(shù)應(yīng)用.200012余永權(quán).單片機(jī)原理及應(yīng)用M. 北京:電子工業(yè)出版社.1997附錄附錄 1 1:電路原理圖:電路原理圖附錄附錄 2 2:重要源代碼模塊:重要源代碼模塊uchar read_0832(uchar channel) /讀取通道中模數(shù)轉(zhuǎn)化的值 uchar i,temp=0;channel=3;channel|=0 x01;CS=0;for(i=0;i=1;CLK=0;delay(2);CLK=1;for(i=0;i8;i

43、+)temp=1;CLK=0;_nop_();if(DO=1)temp|=0 x01;elsetemp|=0 x00;_nop_();CLK=1;CS=1;return temp;void wlcd_com(uchar com) /向 LCD1602 中寫控制字en=0;rs=0;rw=0;P0=com;delay(1);en=1;delay(1);en=0;void wlcd_data(uchar date)/向 LCD1602 中寫入數(shù)據(jù)en=0;rs=1;rw=0;P0=date;delay(1);en=1;delay(1);en=0;void bcdzhuanshi() /BCD 轉(zhuǎn)十

44、進(jìn)制uchar i;for(i=0;i7;i+)shidatai+1=bcddatai%16+(bcddatai/16)*10;void shizhuanbcd() /十進(jìn)制轉(zhuǎn) BCDuchar i;for(i=0;i7;i+)bcddatai=shidatai+1%10+(shidatai+1/10)*16;void writebyte(uchar addr,uchar shuju) /DS1302 寫一字節(jié)uchar i;uint temp=0;temp=shuju;temp=8;temp=temp+addr;SCLK=0;RST=1;for(i=0;i=1;SCLK=1;SCLK=0;R

45、ST=0;IO=1;uchar readbyte(uchar addr) /DS1302 讀一字節(jié)uchar temp=0,i;temp=addr|0 x01;SCLK=0;RST=1;for(i=0;i=1;SCLK=1;SCLK=0;temp=0;for(i=0;i=1;if(IO)temp=temp|0 x80;elsetemp=temp|0 x00;SCLK=1;SCLK=0;RST=0;IO=1;return temp;void write_1302() /寫入 DS1302shizhuanbcd();writebyte(controladdr,0 x00); / 允許寫入writebyte(secondaddr,0 x80); / 停止跑時(shí)writebyte(trickleaddr,0 xa9); / 設(shè)置writebyte(yearaddr,bcddat

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論