MODELSIM培訓(xùn)_第1頁(yè)
MODELSIM培訓(xùn)_第2頁(yè)
MODELSIM培訓(xùn)_第3頁(yè)
MODELSIM培訓(xùn)_第4頁(yè)
MODELSIM培訓(xùn)_第5頁(yè)
已閱讀5頁(yè),還剩85頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、MODELSIM 培訓(xùn)4培訓(xùn)文檔內(nèi)容1、建立項(xiàng)目2、建立庫(kù)3、編譯源代碼4、啟動(dòng)仿真器5、執(zhí)行仿真6、前、后仿真區(qū)別7、do文件的介紹8、界面介紹9、設(shè)計(jì)調(diào)試10、其他相關(guān)內(nèi)容執(zhí)行仿真過(guò)程需要的步驟5ffMODELSIM1、建立項(xiàng)目選擇 File/New/Project,出現(xiàn)右圖所示的界而。Project Name 項(xiàng)目名不爾Project Location 項(xiàng)目存放路徑> Default Library Name。缺省庫(kù)名(一般情況為work, 系統(tǒng)會(huì)在項(xiàng)目存放路徑自建work子目錄)2、建立庫(kù)一ModelS7/77 庫(kù)»需編譯的弓I入文件激勵(lì)文件 源文件源文件調(diào)用的子模塊庫(kù)

2、的兩種類型本次新建需編譯的庫(kù)(缺省值work)包含當(dāng)前被編譯的設(shè)計(jì)單元編譯前必須建立一個(gè)work庫(kù)每個(gè)項(xiàng)目只允許一個(gè)work庫(kù) 弓I用已有的庫(kù)包含能被當(dāng)前編譯引用的設(shè)計(jì)單元在編譯期間允許多個(gè)7立庫(kù)建庫(kù)菜單操作選擇 a new library and a logical maDDing to it和輸入庫(kù)名從主菜單里面:Design -> Create a New| j Model!:im ALTIERA 5.3d AlteraFile EditDesignView Run Macro Options Window 旦elp彥色I(xiàn)amaommb «mbom omBrowse Li

3、braries.夕y夕§ .US j H 勿# Re-adinglCreate a New Library.dZvsim/pref.tclM odelSim> Yiew Liby Contents.Compile.Compile ProjectLoad New Design.End Simulation.r CreateJnl xl JLibrary Name=a new library and a logic對(duì) mappin口 lo 律 f “ m» moicioiii a map to an existing library#LibrLibrary Maps to

4、:11BBrowse.#7OKCancel#.建立庫(kù)一建庫(kù)命令行操作從main窗口提示行后敲入命令:ModelSim> vlib v庫(kù)名女口: vlib work/建立庫(kù)名為work的庫(kù)vlib work2/建立庫(kù)名為work2的庫(kù)#建立庫(kù)一庫(kù)的編譯Design View Project Run Compare M-acra Options Window Help庫(kù)文件存放的庫(kù)名File EditBrowse Libraries.Create a New Library.Import Library cpdeJ" Load Design.End Sinwlatiori.Mode

5、ls irn>庫(kù)文件存放的路徑刈inx:布局布線工具安裝冃錄verilogsrc其中:simprims(后仿真庫(kù))uni9000,unisims,XilinxCorelib(前仿真庫(kù))Altera:布局布線工具安裝冃錄edasim lib911建立庫(kù)一映射邏輯新庫(kù)DesignodelSim SE PLUS 5.5fview Project R.un Compare MacroBrowse LibrariesOptions Window Help " J0“ .Create a New Library.Library:兩Import Library,.Compile.Load D

6、esign.End Simulation|Project : modelsim. |<No Design Loaded>|<No Contes菜單操作(從主菜單里面)Design -> Create a New Library->a map to an existing library命令行操作(從主窗口提示行后敲入)語(yǔ)法:vmap <logical_name<directory_path>vmap my_work c:my_designmy_lib 將my_yzo(k庫(kù)的內(nèi)容映射到myb建立庫(kù)一映射現(xiàn)有的庫(kù)園 Models)im ALTIERA

7、 5.5e - Custom Altera Version|-|n|x|File EditDesignView Project Run Macro Options Window HelpCreate a New Library.Browse Libraries.ig C: /M odeltech_ae/ w in 32aloem/. ZIcI/y sim/pr An字mSelect a map to an existing library and type library naw-122(IprlprlprI 嚴(yán)囂ibralImport Library.Compile.LoadEndS:Cre

8、ate a New Library-Inlxlry<No DesignCreate< ia new library and a logical mappinq to id 譏 Aibi a map to an existing library使用這條命令映射到已經(jīng)編譯的Library Name庫(kù)IBrowse to library directoryOKCancelvamp myjib g:/software/model55crk/modelsim55f/simprim/映軸myib 到已經(jīng)編譯的庫(kù)g:lsoWN&引 model55crk/inodelsim55f/simp

9、rim13建立庫(kù)一刪除庫(kù)命令Use delete button to delete a library作用:從指定的庫(kù)中刪除一個(gè)完整的庫(kù)或者一個(gè)設(shè)計(jì)單元操作:菜單操作:Design -> Library Browser /刪除庫(kù)完整的庫(kù)命令行操作:vdel -lib <library_name> <design_unit> 刪除庫(kù)中 單元建立庫(kù)一察看庫(kù)內(nèi)容作用:顯示指定庫(kù)的內(nèi)容操作:菜單操作:end#Design -> Library Browserend#end#命令行操作:<library_name>Use view button to vi

10、ew a libraryend13end#3、編譯源代碼一模塊激勵(lì)的產(chǎn)生(1)文件格式:激勵(lì)文件基于Verilog的格式-文件組成:在仿真起始時(shí)刻將fp賦0在仿真起始時(shí)刻將elk賦0在仿真起始時(shí)刻將rst_b賦0/在仿真起始后(100*'clkth)將rst_b賦精度時(shí)間確定 ' timescale 1ns/1ps 定義時(shí)間精度為1ps,時(shí)間單位為1ns 激勵(lì)文件變量的定義 定義變量的類型、數(shù)據(jù)寬度 激勵(lì)文件變量的初始化 對(duì)變量復(fù)初值 如: initial begin fp=o; clk=0; rst_b=O;#(100*'clkth) rst_b= 1:編譯源代碼一模

11、塊激勵(lì)的產(chǎn)生(2)時(shí)鐘的產(chǎn)牛,產(chǎn)牛激勵(lì)時(shí)鐘 如:always #' clkth forever # ' clkth clk=clk;在仿真起始經(jīng)過(guò)'clkth時(shí)間后,時(shí)鐘每隔'clkth翻轉(zhuǎn)一次 模塊輸入激勵(lì)的產(chǎn)生:產(chǎn)生模塊輸入(同源代碼中變量的產(chǎn)生方法一致) 模塊的調(diào)用:同源文件中的模塊引用一致如下例所示:dcc_pos_ge ndcc_pos_ge nji(.dcc_pos(dcc_pos)j.fp(fp),.clk(clk),.rst_b(rst_b);/按照名稱對(duì)應(yīng)的方式調(diào)的模如鳥(niǎo)en,實(shí)例名為 dcc_pos_gen_ 1仿真結(jié)束的時(shí)間限制:確定仿真時(shí)

12、間長(zhǎng)度如:initial #('clkth*2*810*8) $finish;仿真時(shí)間長(zhǎng)' dkthend15編譯源代碼一加載源代碼通過(guò)菜單操作方式源代碼的路徑和類型需加載文件前仿真:激勵(lì)文件、模塊文件、模塊引用的字模塊文件后仿真(Xilinx器件)激勵(lì)文件、time_sim.v> glbl.v(該文件在布局布布線工具安裝目錄下。后仿真(Altera器件)激勵(lì)文件、Ivo (布局布線產(chǎn)生的網(wǎng)表文件)inM編譯源代碼一源代碼的編譯odelSim SE PLUS 5.5fFile Edit Design View Project Run Compare Macro Optio

13、ns Window Help彥 3 i fe® i MSB. & ft ® i 珂cpos77m_dcc_po cpo§77mv. 2 test cpos77m deModels im>|Project : jnodelsijR彳I jJ Project / Library /Compile 0 ut-of-D ate Files:Compile AllAdd file to Project.Sort by« Compile OrderSelect AllClose Project<No CorvtexT在Project窗口中,點(diǎn)擊右

14、鍵,選中Compile AIIWP可對(duì)Project中所 有文件進(jìn)行編譯,如下所示:編譯源代碼一Project窗口的調(diào)出WewOther卜View _> Show Workspace即可在主窗口的左側(cè)出現(xiàn)19編譯源代碼行編譯源代碼#語(yǔ)法vlog work < library_name> <file1>.M vfile2>N 例如:vlog cpos77mv.v編譯源文件cpos77mv.v>文件按出現(xiàn)的順序被編譯>文件的順序或者編輯的順序不重要>缺省編譯到work庫(kù)#編譯源代碼Error Messages主窗口錯(cuò)誤顯示雙擊主窗口錯(cuò)誤消息 會(huì)

15、自動(dòng)彈出源代碼窗 口并定位在錯(cuò)誤代碼 處 *雙擊主窗口錯(cuò)誤消息會(huì)自動(dòng)彈出源代碼窗口并定位在錯(cuò)誤代碼4、啟動(dòng)仿真器一加載頂模塊#MFile Edit Design View Project Run Compare MacroCompile.lodelSim 5E PLUS S.5fLoad Design.Browse Libraries.Create a New Library.Import Library.reject ; inodeLsim.選擇仿真器的分雜率選擇頂級(jí)或-|n|x|Options Window HelpLibrary: pocp cP 'te$End Simulati

16、o n_oad DesignDesign VHDL Verilog Libraries SDFLibrary: | workcpo$77mvm_dcc_po$21#SimulateSimulator Resolution#從主菜單里面:DesignLoad Designdefault1LodExit |Save.Cancel#前仿真加載的庫(kù):后仿真加載的庫(kù):啟動(dòng)仿真器一加載庫(kù)文件Xilinx:如果模塊中用了core產(chǎn)生的代 碼,需要加入相應(yīng)的庫(kù)。如加載編譯 有ram塊,fifo、bufg等文件的庫(kù)名 Altera:加載編譯有altera_mf.v和 220model.v 的庫(kù)名Xilinx:需

17、要添加后仿真的庫(kù)(參考庫(kù)的 編譯)Altera:庫(kù)前仿真加載的庫(kù)外,還需加 跟器件相關(guān)的庫(kù),如:apexll_atoms.v 編譯產(chǎn)生的庫(kù)啟動(dòng)仿真器一加載延時(shí)文件(后仿真專用)I:. Load Design,n|x|Design I VHDL I Verilog I SDFrSDF FilesrSDF Files指定SDF文件Rcgion/FilcRcgion/FilcDcloyDcloy使用定時(shí)值的等級(jí)的 類型(如果不是頂級(jí))使用定時(shí)值的等級(jí)的類型(如果不是頂級(jí))LoadExitSave Settings.CarcelXilinx:延時(shí)文件Isdf,由布局布線工具自動(dòng)產(chǎn)生Altera:延時(shí)文

18、件*.sdo,由布局布線工具自動(dòng)產(chǎn)生23命令行方式-t <time_unit>指定仿真的時(shí)間分辨率單位可以是fs, ps, ns, ms, sec, min, hr如果用了 Verilog的'timescale指 令,將使用整個(gè)設(shè)計(jì)中的最小 的時(shí)間精度可選項(xiàng)(缺省是ns)菜單方式23#defautLibrary: | WorkSDFfe1血cpos77m_dcc_po$ cpo$77mv dccjjos.gen $m_dcc_Dos_gen tesLcposZZm.dcposICOIsP§ 10 ICOpc n$ IChs ICOns u$ IQjs ICOus

19、m$ IQrs ICOms 怨 ICteecSmjhte1 COseclutiorrdefadt lLoadExitI 5“g. I Cancel |#File Edit Design View Project Run Compare Macro 彥 cs: i 聯(lián)筆W |±| taWM®"El M的界Optio ns Window Help? FFile Edit Object Options WindowFile Edit View Windowquit -$imv$im work. te$Lcpo$77 m_dcc_posit vsim work t&

20、;2t_cpo277m_dcc_postt Loading work. tesLcpo$77m_dcc_postt Loading work. cpo$77mvtt Loading work. cpos77m_dcc_po$ viewzit.cource .proceed .cignalc .variables .dataflow diet .wave view"#.source .process .signals .variables .dataflow .list .waveVS IM 47>|lnl XiFile Edit View Window冷啟El 叢曹蔭鯛丄衛(wèi)豆3

21、3313536373839IO41<Ready> til M PLI CIT-WIRE(dcc_po$#4:<Done> ttALWAYSU51三Alsim: /1 es-t_cpos77jn_dcc_pos/ eposFile Edit View Window巧 dcc_pos5 fp elk rst_b 莊row EF© colh (3) collxxxx xxxxxxxsim: /+ es-t_cpos77m_dcc_pos/cpo$14"isrini: /-tes七 cpos77ni dec pos?/cpo$sFile Window-lol

22、 x|、CianucccJLu Inci / Ipsmodule cpos77m_dcc_pos Cdcc_pos, f pr clk rst._b);out-put- clcc_pon; /匕 bu dec posit-ioii indiccxt icinput- f p; / / t-lic f p xnclxccxtz.i.oii;input- elk:; /t-he 77iti clock;input- 匕/匕bu uuou匕rugdee pos;File Edit Cursor Zoom Cornpare Bookmark Format Window©隔 i 船電電丨n&#

23、177;=>r i毒I號(hào)號(hào)狂強(qiáng)哎強(qiáng)25口窗口EJ-J test_cpo$77由-J cpos77rAllHide Workspace Layout StyleSourceStructureVariablesSim> vsim tesLcposZ 7m_dc c_po$ te $Lcpo $77 m_ dcc_ pos: ing wok©$t_cpo$77m_dcc_po$ ing work.cpos:77mv ing w ork. c po$7 7m_dc c_po s ave ernr. 1 仃仃 fin波形窗口Listproces File Edit View Win

24、dowWaveDataflcDataseNew飛; j_; Other Project /, Library jrrn-i |Preject : model sim. dcG.pos巾 elk r§Lb 田今Lrow EH© Lcolh EH*) Lcoll信號(hào)窗口StO000000000000000000usin:/test_cposT7m_dcc_posMFile Edit De sig n View Project Run Compare Macro Options Window Help命令行方式:View 一 Signals;View 一 Waves;View 一

25、Source在signals窗口中:View -> Wave ;行仿真一運(yùn)行Run -> Run-all命令行方式:run <time_step> <time_units>例:run 100ns從當(dāng)前開(kāi)始時(shí)刻運(yùn)行100ns27選擇timesteps數(shù)量就 可以執(zhí)行仿真執(zhí)行仿真一菜單方式Restart -重裝任何已改動(dòng) 的設(shè)計(jì)元素并把仿真時(shí)間設(shè) 為零29執(zhí)行仿真一仿真結(jié)果(前仿真)執(zhí)行仿真一仿真結(jié)果(后仿真)31run 10007000 ssrun 2500 nsi nsrun 300030w辿也®3執(zhí)行仿真一force命令force命令的使用對(duì)象:

26、簡(jiǎn)單的模塊仿真force命令的輸入方式:直接從命令控制臺(tái)輸 DO文件(宏文件)仿真force 命令(1)常規(guī)語(yǔ)法:force < item_name> < value> <time>, < value> <time> 例:force fp 1 4000ps , 0 8000ps/信號(hào)fp在4000ps處置1,在8000ps處置0參數(shù):item_name獨(dú)迫對(duì)象必需的可以使用通呢符33ValueDescription1111character sequence2#1111binary radix10#15decimal radix16#

27、Fhexadecimal radix執(zhí)行仿真一force命令(2)其他參數(shù)value被強(qiáng)制的項(xiàng)的值必須適合項(xiàng)的數(shù)據(jù)類型必需的time指定值的吋間單位相對(duì)于當(dāng)前的仿真吋間 用符號(hào)指定絕對(duì)時(shí)間吋間單位能被指定 缺省值是仿真分辨率可選的執(zhí)行仿Mforce命令舉例» force 血 0/在當(dāng)前仿真吋間強(qiáng)制dr到0,維持此狀態(tài)> force clr 1 100 ns/在當(dāng)前仿真吋間后100ns強(qiáng)制dr到1,維持此狀態(tài)> force bus2 16# 4F 200仿真啟動(dòng)后強(qiáng)制bus2到4F直到200時(shí)間單位,分辨率在仿真啟動(dòng) 時(shí)選擇> force elk 0 0, 1 20

28、-repeat 50 -cancel 1000/在當(dāng)前仿真后0吋間單位強(qiáng)制dk到0和在20時(shí)間單位強(qiáng)制到1.每5C 吋間單位重復(fù)直到1000.因此,下_個(gè)1將在70吋間單位發(fā)生376、執(zhí)行仿真一前仿真和后仿真的區(qū)別#Altera(前仿真)Altera(后仿真)Xilinx(前仿真)Xilinx(后仿真)引入文件(見(jiàn) 14p)編寫(xiě)的源文件、激勵(lì)文件產(chǎn)生的網(wǎng)表 文件、激勵(lì) 文件編寫(xiě)的源文 件、激勵(lì)文 件產(chǎn)生網(wǎng)表文 件,激勵(lì)文件,glbl.v加載的庫(kù)(見(jiàn) 20p )加載編譯有 altera_mf.vfli 220model.v 的庫(kù)名多器件相關(guān)的庫(kù)前仿真的庫(kù)后仿真的庫(kù)加載的延 時(shí)文件 (見(jiàn) 21p)

29、無(wú)*.sdo無(wú)*.sdf#397、Do文件介紹一DO文件Do文件示例 cd c:mydir vlib work vlog counter.v vsim counter view * add wave /* add list r do run.do自動(dòng)完成仿真步驟的宏文件庫(kù)設(shè)置 編譯 仿真 強(qiáng)制仿真激勵(lì)能在所有的ModelSim模式里被調(diào)用菜單操作Macro > Execute命令行操作do <filename.do能調(diào)用其他的DO文件文件介紹一DO文件舉例my_sim.dostimulus.do#ModelS»77用戶界面特征(1)41main, structure, s

30、ource, signals, process, variables, dataflow, wave, list支持任何窗口的多個(gè)副本拖放-在一個(gè)窗口選擇HDL項(xiàng)后,用鼠標(biāo)左鍵,這些項(xiàng)能被從一個(gè)窗口 拖和放到另一個(gè)窗口. 14。1«項(xiàng)|從 dataflow, List, Signals, Source, Structure,和窗口拖出.可把它們放到或者窗口早面介紹一Model®/?用戶界面特征(2)*自動(dòng)更新窗口 Dataflow窗口:當(dāng)一個(gè)進(jìn)程被選到這個(gè)窗口的中央,Process, Signals, Source, Structure,和 旳衣?/es窗口會(huì)被更新. Pr

31、ocess 窗口:當(dāng)一個(gè)進(jìn)程被選擇,Dataflow, Signals, Structure,和 Variables需口被更新. Signals窗LI:當(dāng)Signals窗口被選擇,Dataflow窗口是唯一被更新的窗 口. Structure窗口:當(dāng)從你的設(shè)計(jì)結(jié)構(gòu)中層次瀏覽中的一個(gè)被選擇, Signals和Source窗口將自動(dòng)更新.43界面介紹一ModelSTz?用戶界面特征(3)壽找名稱或搜索值除兩個(gè)窗口外其他窗口都允許用戶通過(guò)菜單超找項(xiàng)名稱o 只有 飴衍和Dataflow窗口沒(méi)有這個(gè)功能。在厶®和必i/e窗口,能通過(guò)&77>Sec/?搜索HDL項(xiàng)值。排序HDL項(xiàng)用

32、Edit>Sort菜單選項(xiàng),HDL項(xiàng)能被排序(按升序,降序,或聲明順序)。 缺省,這些項(xiàng)按被聲明的順序排序。多個(gè)窗口副本從主窗口,用View>New菜單選項(xiàng)建立額外的相同窗口的副本界面介紹一Main窗口(1)45#令息明命信聲5EPLUSW細(xì)1333? Ro1il1MlfeJ_cp(B77m_dxj05: 1A伽9bP cpx77nrt cp(B77n (j-J cpx77m.dx.pxj:<LJPf細(xì)lb訥亦/V JProject : lodelsin |floV:0 ps Delta: 0|sa:/test cpos?li.dccjosHe Cesip 儷 Project

33、 Run Corrpare Maao fttixs Ikbiw 欄ModelSim>這是設(shè)計(jì)加載前的提示符能瀏覽幫助,編輯庫(kù),編輯源代碼VSIM>設(shè)計(jì)加載后顯示的提示符 告訴我們仿真器的行為動(dòng)作-|D|x|#界面介紹Main窗口(2)Options -> Simulation 界面介紹一Structure窗口設(shè)計(jì)的結(jié)構(gòu)多層瀏覽 Verilog (O)-模塊實(shí)例, 有名的 fork, begin, task, 和 function成為當(dāng)前層for Source和 Signals 窗口,更新 Process 和 Variables 窗口COM) weiv structure項(xiàng)目的

34、層次關(guān)系47環(huán)介紹Soiircoffl口() 從Struct ure窗口選擇Options菜單(源代碼的控制瀏覽)Color-coded注釋,關(guān)鍵字,字符串,數(shù)字,執(zhí)行行,標(biāo)識(shí)符,系統(tǒng)任務(wù),文本完全的編輯能力保存編譯和重啟拖放描述/檢查-VHDL-信號(hào)45COM) wew source變量和常數(shù)2界面介紹一Source SJ1)高亮信號(hào),變量,常數(shù), 線網(wǎng),或寄存器2)右擊鼠標(biāo)并選擇Now或Object Menu ->Examine/Description919233349536yy/ war t top ZiO/iO/xmitd t en 0101nextstate: PROCESS

35、( clrjnt_enzCBcurrenLstale, nRWz106CASE c斗WHEN waiting =>IF(nRW=rAND cs=01THEN nexLslsk <= w riling Jojeg;ELSIF (nRW=,0, AND THEN nexLstate <=(eading_from_(eg;ELSEnouF cFsFo / iai aiFinn*FWWaraBWBngffWWHBBBEEBMM 嗣 IMIMI"肘山hMI可刃File Edit Object 0 pjions Window3I2H 乂j ? <P描述顯示所選的HDL項(xiàng)的

36、信息檢查顯示所選HDL項(xiàng)當(dāng)前仿真值#1地File Edit 愉 WindowMBMB<Ready> #INITIAL#17<Ready> TOAYSII25<Ready> #IMPLICIT-WIRE(0)O <Readp #IMPLiaT-WIRE(clk)O <Ready> #IWPLICIT-WIRE(fsLb)Ok<Done)8ALWAYSO臨跚 #IHPLICIT-WIREW39Tsim:/test_cposT?iii_dccjoskCOM) view process岳介紹一Process窗口顯示外部和內(nèi)部的處理View -

37、> Active顯示當(dāng)前仿真所有處理日志View > In Region顯示Structure窗口選擇模塊的處理信號(hào)的名 字指示器v Ready Process is scheduled to be executed< Wait>處理正等待VHDL信號(hào)或Verilog線網(wǎng)改 變或等待超時(shí)v Done> Process has executed a VHDL wait statement without a time-out or sensitivity list47顯示Structure窗口的當(dāng)前層HDL項(xiàng)的名稱和值排序-升序,降序或聲明順序 層次(+)可展開(kāi)的,

38、()已展開(kāi)的“拖放”Wave 和 List 窗口000xxxxxxxxx xxXX XXFile Edit View WindowCOM) Weivsignals INITROW 辺 INIT.COLHINIT COLL 田 colhcollrow fpe cik皿_b c 刖y, carry-.bcarrcoll carrycolhtxytxs s s X X X KV49界面界面V#V#COM) view dataflowVerilog線網(wǎng)的圖形描繪 信號(hào)或線網(wǎng)在窗口中央 Processes that drive signal or net on the left Processes that read the signal or are triggered by the net on the right界面介紹一Wa

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論