多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)38頁(yè)_第1頁(yè)
多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)38頁(yè)_第2頁(yè)
多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)38頁(yè)_第3頁(yè)
多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)38頁(yè)_第4頁(yè)
多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)38頁(yè)_第5頁(yè)
已閱讀5頁(yè),還剩33頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、編碼2015-JXLW專業(yè)代碼080701本科畢業(yè)論文(設(shè)計(jì))多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)學(xué) 院信息與電子工程專 業(yè)電子信息工程學(xué) 號(hào)6111040102學(xué)生姓名王娜指導(dǎo)教師徐忠根提交日期年 月 日誠(chéng) 信 承 諾 書本人鄭重承諾和聲明:我承諾在畢業(yè)論文撰寫過(guò)程中遵守學(xué)校有關(guān)規(guī)定,恪守學(xué)術(shù)規(guī)范,此畢業(yè)論文(設(shè)計(jì))中均系本人在指導(dǎo)教師指導(dǎo)下獨(dú)立完成,沒(méi)有剽竊、抄襲他人的學(xué)術(shù)觀點(diǎn)、思想和成果,沒(méi)有篡改研究數(shù)據(jù),凡涉及其他作者的觀點(diǎn)和材料,均作了注釋,如有違規(guī)行為發(fā)生,我愿承擔(dān)一切責(zé)任,接受學(xué)校的處理,并承擔(dān)相應(yīng)的法律責(zé)任。畢業(yè)論文(設(shè)計(jì))作者簽名:年 月 日摘 要20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在

2、其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越快?,F(xiàn)代生活的人們?cè)絹?lái)越重視起了時(shí)間觀念,可以說(shuō)是時(shí)間和金錢劃上了等號(hào)。對(duì)于那些對(duì)時(shí)間把握非常嚴(yán)格和準(zhǔn)確的人或事來(lái)說(shuō),時(shí)間的不準(zhǔn)確會(huì)帶來(lái)非常大的麻煩,所以以數(shù)碼管為顯示器的時(shí)鐘比指針式的時(shí)鐘表現(xiàn)出了很大的優(yōu)勢(shì)。數(shù)碼管顯示的時(shí)間簡(jiǎn)單明了而且讀數(shù)快、時(shí)間準(zhǔn)確顯示到秒。而機(jī)械式的依賴于晶體震蕩器,可能會(huì)導(dǎo)致誤差。數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)“時(shí)”、“分”、“秒”數(shù)字顯示的計(jì)時(shí)裝置。數(shù)字鐘的精度、穩(wěn)定度遠(yuǎn)遠(yuǎn)超過(guò)老式機(jī)械鐘。在這次設(shè)計(jì)中,我們采用

3、LED數(shù)碼管顯示時(shí)、分、秒,以24小時(shí)計(jì)時(shí)方式,根據(jù)數(shù)碼管動(dòng)態(tài)顯示原理來(lái)進(jìn)行顯示,用12MHz的晶振產(chǎn)生振蕩脈沖,定時(shí)器計(jì)數(shù)。在此次設(shè)計(jì)中,電路具有顯示時(shí)間的其本功能,還可以實(shí)現(xiàn)對(duì)時(shí)間的調(diào)整。數(shù)字鐘是其小巧,價(jià)格低廉,走時(shí)精度高,使用方便,功能多,便于集成化而受廣大消費(fèi)的喜愛(ài),因此得到了廣泛的使用。關(guān)鍵字:數(shù)字電子鐘;單片機(jī);數(shù)碼管顯示器ABSTRACTThe late 20th century, electronic technology has been rapid development in its promotion, penetration of modern electronic

4、 products will almost Fields, a strong impetus to the development of social productive forces and social improvement in the level of information, while also further improve the performance of modern electronic products, replacement products have become increasingly fast pace. Growing emphasis on mod

5、ern life from the time the concept of time and money can be said to draw the equal sign. For those who are very strict and accurate grasp of time and things, time will not exactly bring a very big trouble, so as to control the display of digital clock than the clock pointer showed a big advantage. D

6、igital display of time reading simple and fast, accurate display of time to seconds. The mechanical oscillator depends on the crystal may lead to errors. Digital Clock is a digital circuit implementation of the “when”,“sub”,“seconds”.The figures show the timing device.Digital clock precision, stabil

7、ity, far more than the old mechanical clock. In this design, we use LED digital display hours, minutes, seconds, to 24-hour time mode, according to digital control theory to dynamic display to display, use the 12MHz crystal oscillation pulse, the timer count. In this design, the circuit has a displa

8、y time of the this function, you can also realize the time adjustment. Digital clock is its compact, low cost, travel time and high precision, easy to use, features and more, easy integration and loved by the general consumer, so widely used. Key Words:digital electronic clock;SCM;LED目 錄1緒論11.1數(shù)字電子鐘

9、的背景11.2數(shù)字電子鐘的意義11.3數(shù)字電子鐘的應(yīng)用12設(shè)計(jì)任務(wù)和方案22.1設(shè)計(jì)任務(wù)22.2功能要求說(shuō)明22.3設(shè)計(jì)課題總體方案及工作原理說(shuō)明23數(shù)字電子鐘的硬件設(shè)計(jì)33.1硬件系統(tǒng)各模塊功能設(shè)計(jì)33.2 電路原理圖及工作原理 33.3元器件清單54數(shù)字電子鐘的軟件設(shè)計(jì)64.1使用的單片機(jī)資源情況64.2各模塊功能簡(jiǎn)要介紹64.3系統(tǒng)軟件設(shè)計(jì)流程圖65.系統(tǒng)仿真105.1PROTUES軟件介紹105.2電子鐘系統(tǒng)PROTUES仿真106調(diào)試與功能說(shuō)明116.1硬盤調(diào)試 116.2系統(tǒng)性能測(cè)試與功能說(shuō)明 116.3 系統(tǒng)時(shí)鐘誤差分析 116.4 軟件調(diào)試問(wèn)題及解決 12結(jié)束語(yǔ)13致謝14附錄

10、15程序設(shè)計(jì)161 緒 論1.1 數(shù)字電子鐘的背景 20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越快。 時(shí)間對(duì)人們來(lái)說(shuō)總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前的時(shí)間。忘記了要做的事情,當(dāng)事情不是很重要的時(shí)候,這種遺忘無(wú)傷大雅。但是,一旦重要事情,一時(shí)的耽誤可能釀成大禍。目前,單片機(jī)正朝著高性能和多品種方向發(fā)展趨勢(shì)將是進(jìn)一步向著CMOS化、低功耗、小體積、大容量、高性能、低價(jià)格和外圍電路內(nèi)裝化等幾個(gè)方面發(fā)展。下面是單片機(jī)的主要發(fā)展趨

11、勢(shì)。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變了傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須由模擬電路或數(shù)字電路實(shí)現(xiàn)的大部分功能,現(xiàn)在已能用單片機(jī)通過(guò)軟件方法來(lái)實(shí)現(xiàn)了。這種軟件代替硬件的控制技術(shù)也稱為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命。單片機(jī)模塊中最常見(jiàn)的是數(shù)字鐘,數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。1.2 數(shù)字電子鐘的意義數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì).時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車站, 碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?由于數(shù)字集成電路

12、的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過(guò)老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。1.3 數(shù)字電子鐘的應(yīng)用 數(shù)字鐘已成為人們?nèi)粘I钪校罕夭豢缮俚谋匦杵?,廣泛用于個(gè)人家庭以及車站、碼頭、劇場(chǎng)等公共場(chǎng)所,給人們的生活、學(xué)習(xí)、工作、娛樂(lè)帶來(lái)極大的方便。由于數(shù)字集成電路技術(shù)的發(fā)展和采用了先進(jìn)的石英技術(shù),使數(shù)字鐘具有走

13、時(shí)準(zhǔn)確、性能穩(wěn)定、攜帶方便等優(yōu)點(diǎn),它還用于計(jì)時(shí)、自動(dòng)報(bào)時(shí)及自動(dòng)控制等各個(gè)領(lǐng)域。2設(shè)計(jì)任務(wù)和方案2.1 設(shè)計(jì)任務(wù) (一)設(shè)計(jì)題目:多功能電子鐘的設(shè)計(jì)與實(shí)現(xiàn)(二)設(shè)計(jì)目的與任務(wù): 通過(guò)制作實(shí)物與具體理論知識(shí)結(jié)合解決相應(yīng)的實(shí)際問(wèn)題,鞏固與運(yùn)用單片機(jī)技術(shù),掌握單片機(jī)應(yīng)用系統(tǒng)中的一般具體設(shè)計(jì)方法,為今后在電子電路設(shè)計(jì)中做出必要準(zhǔn)備,研究開發(fā)電子產(chǎn)品打下堅(jiān)實(shí)基礎(chǔ)。2.2 功能要求說(shuō)明此多功能數(shù)字電子鐘采用三個(gè)兩位一體共陽(yáng)極LED數(shù)碼管顯示時(shí)分秒。電子鐘一上電即可顯示。用三個(gè)按鍵調(diào)整時(shí)間和鬧鈴,分別為K1、K2、K3,其中K1鍵為功能選擇鍵,按一下調(diào)節(jié)小時(shí),兩下是調(diào)節(jié)分鐘,三下是調(diào)節(jié)鬧鐘小時(shí),四下是調(diào)節(jié)鬧鐘

14、分鐘,按五下時(shí)鐘開始工作。K2為數(shù)值加一鍵,K3為數(shù)值減一鍵。2.3 設(shè)計(jì)課題總體方案及工作原理說(shuō)明此設(shè)計(jì)采用STC89C52芯片及LED顯示器,獨(dú)立式按鍵組成的多功能電子鐘。設(shè)計(jì)中是采用單片機(jī)的內(nèi)部定時(shí)器定時(shí),程序框圖如圖2.1所示: 6位LED顯示STC89C52單片機(jī)單片機(jī)晶振電路單片機(jī)復(fù)位電路數(shù)碼管顯示驅(qū)動(dòng)按鍵電路 圖2.1程序框圖3 數(shù)字電子鐘的硬件設(shè)計(jì)3.1硬件系統(tǒng)各模塊功能設(shè)計(jì) 該多功能數(shù)字電子鐘單片機(jī)由最小系統(tǒng)、鍵盤模塊、LED顯示電路模塊、USB接口電路模塊組成,各模塊的功能如下:(1) 單片機(jī)最小系統(tǒng)由STC89C52單片機(jī)、復(fù)位電路和時(shí)鐘電路組成。STC89C52是一種低

15、電壓,高性能CMOS8位單片機(jī),片內(nèi)含4k bytes的可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和128 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-52指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,內(nèi)置功能強(qiáng)大的微型計(jì)算機(jī)的AT89C52提供了高性價(jià)比的解決方案。時(shí)鐘電路由一個(gè)12MHZ石英晶體振蕩器和兩個(gè)33pF的電容組成振蕩電路和分頻電路,為單片機(jī)提供內(nèi)部時(shí)鐘。復(fù)位電路采用上電復(fù)位和按鍵復(fù)位結(jié)合的方式對(duì)電路進(jìn)行復(fù)位,主要是通過(guò)RST引腳送入單片機(jī)。(2) 鍵盤模塊采用獨(dú)立式鍵盤接法,共有三個(gè)按鍵來(lái)對(duì)電路進(jìn)行控制,分

16、別接在單片機(jī)的P3.2、P3.3、P3.3口線上。一個(gè)鍵控制時(shí)分秒鬧鐘之間的轉(zhuǎn)換,一個(gè)鍵負(fù)責(zé)數(shù)字加一,一個(gè)鍵負(fù)責(zé)數(shù)字減一。(3) LED顯示電路采用3個(gè)兩位一體共陽(yáng)極數(shù)碼管顯示器進(jìn)行顯示,加上一個(gè)PNP型8550三極管作為驅(qū)動(dòng)和8個(gè)470歐姆的電阻起限流的作用。(4)USB接口電路接上一個(gè)電容組成的濾波電路和電源顯示燈組成一個(gè)電源接口,為單片機(jī)工作供電。3.2 電路原理圖及工作原理 采用Protel軟件,Protel99SE是Protel公司近10年來(lái)致力于Windows平臺(tái)開發(fā)的最新結(jié)晶,能實(shí)現(xiàn)從電學(xué)概念設(shè)計(jì)到輸出物理生產(chǎn)數(shù)據(jù),能夠形象的畫出我們所需要設(shè)計(jì)的產(chǎn)品。數(shù)字電子鐘的電路原理圖如圖3

17、.1所示: 圖3.1數(shù)字電子鐘的電路原理圖工作原理 : 數(shù)字電子鐘是一個(gè)將“ 時(shí)”,“分”,“秒”顯示于人的視覺(jué)器官的計(jì)時(shí)裝置。它的計(jì)時(shí)周期為24小時(shí),顯示滿刻度為23時(shí)59分59秒,另外還有校時(shí)功能。因此,一個(gè)基本的數(shù)字鐘電路主要由顯示器“時(shí)”,“分”,“秒”和單片機(jī),還有校時(shí)電路組成。8個(gè)數(shù)碼管的段選接到單片機(jī)的P0口,位選接到單片機(jī)的P2口。數(shù)碼管按照數(shù)碼管動(dòng)態(tài)顯示的工作原理工作,將標(biāo)準(zhǔn)秒信號(hào)送入“秒單元”,“秒單元”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分單元”的時(shí)鐘脈沖?!胺謫卧币膊捎?0進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被

18、送到“時(shí)單元”?!皶r(shí)單元”采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的累計(jì)。顯示電路將“時(shí)”、“分”、“秒”通過(guò)七段顯示器顯示出來(lái)。 3.3元器件清單名稱型號(hào)數(shù)量名稱型號(hào)數(shù)量洞洞板9cm*7cm1電阻47K7單片機(jī)STC89C521電阻4708按鍵輕觸型3電阻10K1單片機(jī)插槽DIP401晶振12M1數(shù)碼管共陽(yáng)兩位3瓷片電容30pF2LED3mm4電解電容10uF1蜂鳴器有源型1開關(guān)自鎖型1三極管PNP85507USB母口usb14數(shù)字電子鐘的軟件設(shè)計(jì)4.1 使用的單片機(jī)資源情況單片機(jī)微型計(jì)算機(jī)是微型計(jì)算機(jī)的一個(gè)重要分支,也是頗具生命力的機(jī)種。單片機(jī)微型計(jì)算機(jī)簡(jiǎn)稱單片機(jī),特別適用于控制領(lǐng)域,故又

19、稱為微控制器。 通常,單片機(jī)由單塊集成電路芯片構(gòu)成,內(nèi)部包含有計(jì)算機(jī)的基本功能部件:中央處理器、存儲(chǔ)器和I/O接口電路等。因此,單片機(jī)只需要和適當(dāng)?shù)能浖巴獠吭O(shè)備相結(jié)合,便可成為一個(gè)單片機(jī)控制系統(tǒng)。 單片機(jī)經(jīng)過(guò)1、2、3、3代的發(fā)展,正朝著多功能、高性能、低電壓、低功耗、低價(jià)格、大存儲(chǔ)容量、強(qiáng)I/O功能及較好的結(jié)構(gòu)兼容性方向發(fā)展。該多功能數(shù)字電子鐘用的是單片機(jī)的定時(shí)器的功能,此外用到了單片機(jī)的中斷功能,在數(shù)據(jù)顯示時(shí)所采用的是查表的方法,因此需要將表格數(shù)據(jù)存到單片機(jī)的程序存儲(chǔ)器中。由于電子鐘需要可以進(jìn)行調(diào)節(jié),因此,需要在單片機(jī)的PI口上加上按鍵,本設(shè)計(jì)把獨(dú)立式鍵盤直接接在P1口上并且其結(jié)果存儲(chǔ)在

20、單片機(jī)的內(nèi)部數(shù)據(jù)存儲(chǔ)器里。用到的LED顯示器接到了單片機(jī)的P0口線上和P3口線上。4.2 各模塊功能簡(jiǎn)要介紹該數(shù)字電子鐘所有軟件模塊組成是定時(shí)器模塊、按鍵模塊、LED顯示模塊。(1) 定時(shí)器模塊選擇定時(shí)器0,設(shè)置定時(shí)方式為方式1,把定時(shí)時(shí)間設(shè)置為50mS.(2) 按鍵模式采用三個(gè)獨(dú)立式按鍵對(duì)電路進(jìn)行控制和調(diào)整操作,分別為K1、K2、K3。(3) LED顯示模塊該電子鐘一通電就能顯示時(shí)間,結(jié)合鍵盤操作可以對(duì)時(shí)間進(jìn)行。4.3 系統(tǒng)軟件設(shè)計(jì)流程圖這次的數(shù)字電子鐘設(shè)計(jì)用到很多子程序,它們的流程圖如下所示。主程序是先開始,然后啟動(dòng)定時(shí)器,定時(shí)器啟動(dòng)后在進(jìn)行按鍵檢測(cè),檢測(cè)完后,就可以顯示時(shí)間。如圖4.1所

21、示:開始啟動(dòng)定時(shí)器按鍵檢測(cè)時(shí)間顯示圖4.1 主程序流程圖NYNYNY時(shí)加1顯示時(shí)間結(jié)束開始秒按鍵按下?秒加1分按鍵按下?分加1時(shí)按鍵按下?按鍵處理是先檢測(cè)秒按鍵是否按下,秒按鍵如果按下,秒就加1;如果沒(méi)有按下,就檢測(cè)分按鍵是否按下,分按鍵如果按下,分就加1;如果沒(méi)有按下,就檢測(cè)時(shí)按鍵是否按下,時(shí)按鍵如果按下,時(shí)就加1;如果沒(méi)有按下,就把時(shí)間顯示出來(lái)。如圖4.2所示: 圖4.2 按鍵處理流程圖定時(shí)器中斷時(shí)是先檢測(cè)1秒是否到,1秒如果到,秒單元就加1;如果沒(méi)到,就檢測(cè)1分鐘是否到,1分鐘如果到,分單元就加1;如果沒(méi)到,就檢測(cè)1小時(shí)是否到,1小時(shí)如果到,時(shí)單元就加1,如果沒(méi)到,就顯示時(shí)間。如圖4.3

22、所示:N24小時(shí)到?分單元清零,時(shí)單元加1NNNYY時(shí)單元清零時(shí)間顯示中斷返回開始一秒時(shí)間到?60秒時(shí)間到?60分鐘到?秒單元加1秒單元清零,分單元加1YY 圖4.3 定時(shí)器中斷流程圖時(shí)間顯示是先秒個(gè)位計(jì)算顯示,然后是秒十位計(jì)算顯示,再是分個(gè)位計(jì)算顯示,再然后是分十位顯示,再就是時(shí)個(gè)位計(jì)算顯示,最后是時(shí)十位顯示。如圖4.4所示:時(shí)十位計(jì)算顯示結(jié)束開始秒個(gè)位計(jì)算顯示秒十位計(jì)算顯示分個(gè)位計(jì)算顯示分十位計(jì)算顯示時(shí)個(gè)位計(jì)算顯示圖4.4 時(shí)間顯示流程圖5 系統(tǒng)仿真5.1 PROTUES軟件介紹Proteus軟件是Labcenter Electronics公司的一款電路設(shè)計(jì)與仿真軟件,它包括ISIS、AR

23、ES等軟件模塊,ARES模塊主要用來(lái)完成PCB的設(shè)計(jì),而ISIS模塊用來(lái)完成電路原理圖的布圖與仿真。Proteus的軟件仿真基于VSM技術(shù),它與其他軟件最大的不同也是最大的優(yōu)勢(shì)就在于它能仿真大量的單片機(jī)芯片,比如MCS-51系列、PIC系列等等,以及單片機(jī)外圍電路,比如鍵盤、LED、LCD等等。通過(guò)Proteus軟件的使用我們能夠輕易地獲得一個(gè)功能齊全、實(shí)用方便的單片機(jī)實(shí)驗(yàn)室。5.2 電子鐘系統(tǒng)PROTUES仿真用PROTUES軟件,根據(jù)數(shù)字電子鐘的原理圖,畫出仿真圖,得到的圖5.1所示。圖5.1 數(shù)字鐘的PROTES仿真6調(diào)試與功能說(shuō)明單片機(jī)應(yīng)用系統(tǒng)的調(diào)試包括硬件和軟件兩部分,但是他們并不能

24、完全分開。一般的方法是排除明顯的硬件故障,再進(jìn)行綜合調(diào)試,排除可能的軟/硬件故障。6.1 硬盤調(diào)試拿到電路板后,首先要檢查加工質(zhì)量,并確保沒(méi)有任何方面的錯(cuò)誤,如短路和斷路,尤其要避免電源短路;元器件在安裝前要逐一檢查,用萬(wàn)用表測(cè)其數(shù)值,看是否與所用相同;完成焊接后,應(yīng)先空載上電(芯片座上不插芯片),并檢查各引腳的電位是否正確。若一切正常,方可在斷電的情況下將芯片插入,再次檢查各引腳的電位及其邏輯關(guān)系。將萬(wàn)用表的探針?lè)诺絾纹瑱C(jī)接電源的引腳上檢測(cè)一下,看是否符合要求。6.2 系統(tǒng)性能測(cè)試與功能說(shuō)明(1)本設(shè)計(jì)采用STC89c52控制芯片.(2)用三個(gè)2位一體共陽(yáng)紅色數(shù)碼管作為顯示, PNP 型85

25、50三極管驅(qū)動(dòng),限流電阻起限流作用。(3)把4個(gè)3mm的紅色發(fā)光二極管作為時(shí)間數(shù)字之間冒號(hào)。(4)P3.2、P3.3、P3.4 口作為按鍵 S1S3 使用 S1:功能選擇鍵 S2:數(shù)值加一按鍵(調(diào)節(jié)時(shí)間時(shí)相應(yīng)光標(biāo)閃爍) S3:數(shù)值減一按鍵(調(diào)節(jié)時(shí)間時(shí)相應(yīng)光標(biāo)閃爍) 初始鐘表顯示的時(shí)間是12:00:00(5)鬧鈴電路由有源蜂鳴器和 PNP 型三極管組成,初化鬧鐘時(shí)間為06:30:00,鈴時(shí)間到時(shí)蜂鳴器間隔1分鐘,關(guān)閉鈴聲按任意鍵。6.3 系統(tǒng)時(shí)鐘誤差分析時(shí)間是一個(gè)基本物理量,具有連續(xù)、自動(dòng)流逝、不重復(fù)等特性。我國(guó)時(shí)間基準(zhǔn)來(lái)自國(guó)家授時(shí)中心,人們?nèi)粘J褂玫臅r(shí)鐘就是以一定的精度與該基準(zhǔn)保持同步的。結(jié)合

26、時(shí)間概念和誤差理論,可以定義電子鐘的走時(shí)誤差S=S1-S2,S1表示程序?qū)嶋H運(yùn)行計(jì)算所得的秒;S2表示客觀時(shí)間的標(biāo)準(zhǔn)秒。S>0時(shí)表示電子鐘秒單元數(shù)值刷新滯后,即走時(shí)誤差為“慢”;反之,S<0表示秒單元數(shù)值的刷新超前,即走時(shí)誤差為“快”。本次設(shè)計(jì)的單片機(jī)電子鐘系統(tǒng)中,其誤差主要來(lái)源包括晶體頻率誤差,定時(shí)器溢出誤差,延遲誤差。晶體頻率產(chǎn)生震蕩,容易產(chǎn)生走時(shí)誤差;定時(shí)器溢出的時(shí)間 誤差,本應(yīng)這一秒溢出,但卻在下一秒溢出,造成走時(shí)誤差;延遲時(shí)間過(guò)長(zhǎng)或過(guò)短,都會(huì)造成與基準(zhǔn)時(shí)間產(chǎn)生偏差,造成走時(shí)誤差。6.4 軟件調(diào)試問(wèn)題及解決軟件程序的調(diào)試一般可以將重點(diǎn)放在分模塊調(diào)試上,統(tǒng)調(diào)是最后一環(huán)。軟件調(diào)

27、試可以采取離線調(diào)試和在線調(diào)試兩種方式。前者不需要硬件仿真器,可借助于軟件仿真器即可;后者一般需要仿真系統(tǒng)的支持。本次課題,Keil軟件來(lái)調(diào)試程序,通過(guò)各個(gè)模塊程序的單步或跟蹤調(diào)試,使程序逐漸趨于正確,最后統(tǒng)調(diào)程序。仿真部分采用protus 6 professional軟件,此軟件功能強(qiáng)大且操作較為簡(jiǎn)單,可以很容易的實(shí)現(xiàn)各種系統(tǒng)的仿真。首先打開protus 6 professional軟件,在元件庫(kù)中找到要選用的所有元件,然后進(jìn)行原理圖的繪制;繪制好后再選擇wave6000已經(jīng)編譯好的*.hex文件,選擇運(yùn)行,觀察顯示結(jié)果,根據(jù)顯示的結(jié)果和課題的要求再修改程序,再運(yùn)行查,直到滿足要求。結(jié)束語(yǔ)通過(guò)

28、這次設(shè)計(jì)我發(fā)現(xiàn),只有理論水平提高了;才能夠?qū)⒄n本知識(shí)與實(shí)踐相結(jié)合,理論知識(shí)服務(wù)于教學(xué)實(shí)踐,以增強(qiáng)自己的動(dòng)手能力。這個(gè)設(shè)計(jì)十分有意義,我獲得很深刻的經(jīng)驗(yàn)。通過(guò)這次設(shè)計(jì),我知道了理論和實(shí)際的距離,也知道了理論和實(shí)際相結(jié)合的重要性,也從中獲得了很多書本上無(wú)法得知的知識(shí)。我們的學(xué)習(xí)不但要立足于書本,以解決理論和實(shí)際教學(xué)中的實(shí)際問(wèn)題為目的,還要以實(shí)踐相結(jié)合,理論問(wèn)題即實(shí)踐課題,解決問(wèn)題即課程研究,學(xué)生自己就是一個(gè)專家,通過(guò)自己的手來(lái)解決問(wèn)題比用腦子解決問(wèn)題更加深刻。學(xué)習(xí)就應(yīng)該采取理論與實(shí)踐結(jié)合的方式,理論的問(wèn)題,也就是實(shí)踐性的課題。這種做法既有助于完成理論知識(shí)的鞏固,又有助于帶動(dòng)實(shí)踐,解決實(shí)際問(wèn)題,加強(qiáng)

29、我們的動(dòng)手能力和解決問(wèn)題的能力。致 謝此設(shè)計(jì)是在我敬愛(ài)的徐忠根老師的精心指導(dǎo)下完成的。徐老師嚴(yán)謹(jǐn)?shù)慕虒W(xué)作風(fēng)和孜孜不倦的工作態(tài)度一直激勵(lì)著我,使我在學(xué)習(xí)中遇到困難時(shí)能盡最大努力克服,不斷進(jìn)步,在理論和實(shí)踐方面的能力都獲得了很大的提高。在此謹(jǐn)向我的導(dǎo)師徐忠根老師致以崇高的敬意和衷心的感謝。四年大學(xué)生活中,老師們給了我很多指導(dǎo)和支持。他們嚴(yán)謹(jǐn)?shù)闹螌W(xué),優(yōu)良的作風(fēng)和敬業(yè)的態(tài)度,為我樹立了為人師表的典范。在此,我對(duì)所有的信電學(xué)院的老師表示感謝,祝你們身體健康,工作順利!參考文獻(xiàn)1 牛昱光. 單片機(jī)原理與接口技術(shù)M. 北京:電子工業(yè)出版社,2008. 2 蔣力培. 單片機(jī)微機(jī)系統(tǒng)實(shí)用教程M.北京:機(jī)械工業(yè)出

30、版社, 2007. 3 孫逸潔,鞏恩福,萬(wàn)琰.單片機(jī)實(shí)驗(yàn)演練箱的設(shè)計(jì)J.漯河職業(yè)技術(shù)學(xué)院學(xué)報(bào),2007. 4 俞時(shí).單片機(jī)集成仿真系統(tǒng)的開發(fā).中國(guó)紡織大學(xué)學(xué)報(bào)J.Vol.25,No.6:3337 5 趙克剛,易帝文,張治強(qiáng),萬(wàn)為.單片機(jī)仿真實(shí)驗(yàn)平臺(tái)設(shè)計(jì)實(shí)踐J.科技咨詢導(dǎo)報(bào).2007. 6 王為青,程國(guó)鋼.單片機(jī)KeilCx5應(yīng)用開發(fā)技術(shù)M.北京:人民郵電出版社,2007. 7 徐瑋.經(jīng)濟(jì)型51仿真器J.電子制作2004年第8期.8 賈巍.單片機(jī)仿真開發(fā)軟件的應(yīng)用M.中國(guó)水運(yùn),2007. 9 林益平.基于SST89E54RD單片機(jī)的MONITOR-51仿真器設(shè)計(jì)J. 肇慶學(xué)院學(xué)報(bào).2008. 1

31、0榮霞, 譚寶成,倪原,張荷芳.MCS 51 /96 單片機(jī)教學(xué)實(shí)驗(yàn)裝置的研制J.西安工業(yè)學(xué)院.2006.附錄程序設(shè)計(jì)硬件連接:數(shù)碼管:段碼為:P1 位碼分別為:P2.5P2.0蜂鳴器:P2.7功能鍵k1:P3.4加建k2:P3.5減鍵k3:P3.6 */#include<reg51.h> /51頭文件sbit smg1=P25;/位碼1聲明sbit smg2=P24;/位碼2聲明sbit smg3=P23;/位碼3聲明sbit smg4=P22;/位碼4聲明sbit smg5=P21;/位碼5聲明sbit smg6=P20;/位碼6聲明sbit k1=P34; /按鍵k1的聲明s

32、bit k2=P35; /按鍵k2的聲明sbit k3=P36; /按鍵k3的聲明sbit beep=P27;/蜂鳴器聲明/*定義一些變量*/char d=0,e=0,a=0,b=0,n=0,shi=12,fen=0,miao=0,b_shi=6,b_fen=30,b_miao=0;char code table=/共陽(yáng)級(jí)數(shù)碼管碼表0-90xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90;void init();/初始化函數(shù)聲明void delay(int z); /帶參數(shù)延時(shí)函數(shù)聲明void display(char s,f,m);/帶參數(shù)顯示

33、函數(shù)聲明void key();/按鍵控制函數(shù)聲明void main()/主函數(shù)init();/調(diào)用初始化函數(shù)while(1)/主函數(shù)內(nèi)大循環(huán)if(d=0)key(); /如果d=0則掃描按鍵函數(shù)if(shi=b_shi&&fen=b_fen)/如果鬧鈴時(shí)間到 就蜂鳴器響if(d=1&&!(k1&k2&k3)/ 任意按鍵按下關(guān)閉鈴聲e=1; /關(guān)閉鬧鈴標(biāo)志位ed=0; /屏蔽按鍵函數(shù)標(biāo)志位dif(e=0)/關(guān)閉鈴聲d=1; /屏蔽按鍵函數(shù)beep=0;/蜂鳴器鳴叫delay(10); /延時(shí)一會(huì)beep=1;/關(guān)閉蜂鳴器if(n=0|n=1|n=2

34、)/如果處在功能鍵的0、1、2三個(gè)中的某個(gè)狀態(tài)display(shi,fen,miao);/調(diào)用顯示時(shí)鐘if(n=3|n=4)/如果處在功能鍵的3、4中的某個(gè)狀態(tài)display(b_shi,b_fen,b_miao);/調(diào)用顯示鬧鈴時(shí)間void init()/初始化函數(shù)IE=0X8a;/1000 1010TMOD=0X01; /0001 0001選擇定時(shí)器0的工作方式1選擇定時(shí)器1的工作方式1TH0=(65536-50000)/256;/初值15536TL0=(65536-50000)%256;TR0=1; /開啟定時(shí)器0TH1=(65536-50000)/256;/初值15536TL1=(6

35、5536-50000)%256;TR1=1; /開啟定時(shí)器1void timer0() interrupt1 /定時(shí)器0中斷服務(wù)程序TH0=(65536-50000)/256;/進(jìn)入中斷重新賦初值15536TL0=(65536-50000)%256;/計(jì)數(shù)5萬(wàn)次(50毫秒)a+;/每進(jìn)一次中斷a加一if(a=20) /如果進(jìn)入20次中斷,正好一秒a=0; /a到20 就清零miao+;/a每計(jì)20次,秒加一if(miao=60) /秒到達(dá)60秒后清零,分加一miao=0;fen+;e=0;/鬧鈴標(biāo)志位清零if(fen=60)/分到達(dá)60后清零,時(shí)加一fen=0;shi+;if(shi=24)

36、/時(shí)到達(dá)24后清零shi=0;void timer1() interrupt3 /定時(shí)器1中斷服務(wù)程序,用來(lái)設(shè)置光標(biāo)閃爍頻率TH1=(65536-50000)/256;/進(jìn)入中斷重新賦初值15536TL1=(65536-50000)%256;/計(jì)數(shù)5萬(wàn)次(50毫秒)b+; /標(biāo)志位b,用來(lái)確定光標(biāo)閃爍頻率if(b=20) b=0;/b=20 時(shí)被清零void display(char s,f,m)/帶參數(shù)的顯示函數(shù),參數(shù)為s f msmg1=0;/打開數(shù)碼管1位選P1=tables/10;/給數(shù)碼管1賦值,值為小時(shí)的十位delay(1); /延時(shí)smg1=1; /關(guān)閉數(shù)碼管1位選P1=0Xff

37、; /關(guān)閉數(shù)碼管1段選if(b<10&&(n=1|n=3)/在1,3狀態(tài)下,b<10時(shí),關(guān)閉數(shù)碼管2 smg2=1; P1=0Xff;else /其他狀態(tài)下,數(shù)碼管2正常顯示smg2=0;/打開數(shù)碼管2位選P1=tables%10;/給數(shù)碼管2賦值,值為小時(shí)的個(gè)位delay(1);/延時(shí)smg2=1; /關(guān)閉數(shù)碼管2位選P1=0Xff; /關(guān)閉數(shù)碼管2段選smg3=0;/打開數(shù)碼管3位選P1=tablef/10;/給數(shù)碼管3賦值,值為分鐘的十位delay(1); /延時(shí)smg3=1; /關(guān)閉數(shù)碼管3位選P1=0Xff;/關(guān)閉數(shù)碼管3段選if(b<10&&(n=2|n=4) /在2,4狀態(tài)下,b<10時(shí),關(guān)閉數(shù)碼管4 smg4=1; P1=0Xff;else /其他狀態(tài)下,數(shù)碼管4正常顯示smg4=0;/打開數(shù)碼管4位選P1=tablef%10;/給數(shù)碼管4賦值,值為分鐘的個(gè)位delay(1); /延時(shí)smg4=1; /關(guān)閉數(shù)碼管4位選P1=0Xff; /關(guān)閉數(shù)碼管4段選smg5=0;/打開數(shù)碼管5位選

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論