計算機組成原理實驗報告_第1頁
計算機組成原理實驗報告_第2頁
計算機組成原理實驗報告_第3頁
計算機組成原理實驗報告_第4頁
計算機組成原理實驗報告_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、實驗一 51核發(fā)光二極管及數(shù)碼管實驗一 實驗題目51核發(fā)光二極管及數(shù)碼管實驗二 實驗環(huán)境 win7 MedwinV3.0中文版三 實驗要求本實驗分為兩部分,首先進行51核的介紹,包含通常使用的51單片機及FPGA中51核的原理,通過對比,了解兩者的異同。在了解了51原理后結合51核在FPGA中進行原理實現(xiàn),再基于FPGA中使用工具進行51核的編程。在開發(fā)板中進行發(fā)光二極管實驗,數(shù)碼管實驗。編寫程序使led燈(LED0,LED6,LED7)每隔一段時間閃爍一次。提示:編寫延時程序 delay().(用for循環(huán)實現(xiàn)。led燈的閃爍即是不停的亮和滅,即令通用IO口每隔一段時間的輸出取反。例如:LE

2、D_1=LED_1??刂?個數(shù)碼管顯示數(shù)字。提示:數(shù)碼管是由P1口控制的。四 實驗設計1.外部發(fā)光二極管管腳:74HC245芯片提高驅動,輸出高電平發(fā)光二極管亮,輸出低電平發(fā)光二極管滅,引腳鎖定:PIN 100 LED-D1PIN 99 LED-D2PIN 94 LED-D3PIN 92 LED-D42.數(shù)碼管部分:開發(fā)板上沒有固定的數(shù)碼管,均需要外接。而且都是FPGA譯碼。在本實驗中,對需要顯示的數(shù)字經(jīng)過DECL7S模塊進行編碼后輸出到數(shù)碼管上。如想輸出數(shù)字,只需輸入00001111中的任意數(shù)字,如D=0101,則顯示為5,D=1100,則顯示為C,F(xiàn)PGA輸出高時點亮。3.程序架構是:#i

3、nclude<reg51.h>sbit LED_1=P36;sbit LED_2=P37; void delay_ms(int del) /延時1ms int i,j; for(i=0;i<del;i+) for(j=0;j<1000;j+);void LED_LM() /LED燈閃爍void shumaguan() /數(shù)碼管顯示對P1賦值 void main() while(1) 程序如圖所示:五 實驗仿真與測試六 實驗結果分析先在程序中設置延時,使得延時1ms,再設置LED燈閃爍的程序,最后設置數(shù)碼管顯示數(shù)值14,將板子的USB接口與電腦的USB連接,得出實驗結果。

4、七 實驗小結通過這次實驗,我了解了51核的原理,能夠用51單片機對數(shù)碼管核LED燈進行操作,也學會了如何使用開發(fā)板。但是只能進行簡單的操作,所以之后需要加緊練習,爭取熟練掌握51核的應用。實驗二 鍵盤掃描一 實驗名稱鍵盤掃描二 實驗環(huán)境 win7 MedwinV3.0中文版三 實驗要求本實驗主要進行對4*4鍵盤原理分析,實現(xiàn)對鍵盤掃描碼的判斷。要求使用查詢方式進行鍵盤掃描碼判斷(數(shù)碼管輸出)。四 實驗設計實驗設計的源程序如下圖所示:五 實驗仿真與測試六 實驗結果分析如圖所示源程序,先設置鍵盤的行引腳和列引腳,之后設置延時,初始化時把初始值都變成1,按下哪個鍵,哪個位置變成0,。設置列掃描值,第

5、一列是0111,值為1;第二列是1011,值為2;第三列是1101,值為4;第四列是1110,值為8。輸入值 輸出值 0 1 2 3COL1 0 ROW1 0 1 1 1COL2 1 ROW2 1 0 1 1COL3 1 ROW3 1 1 0 1COL4 1 ROW4 1 1 1 0輸入值 輸出值 8 9 A BCOL1 1 ROW1 0 1 1 1COL2 1 ROW2 1 0 1 1COL3 0 ROW3 1 1 0 1COL4 1 ROW4 1 1 1 0然后輸出鍵盤掃描值。結果如圖所示,按下第一列第四行,數(shù)碼管顯示3;按下第四行第四列,數(shù)碼管顯示F。七 實驗小結通過這次實驗,我了解了鍵

6、盤掃描的原理,并學會如何用開發(fā)板輸出源程序的結果。但是對鍵盤掃描的源程序理解還不能熟練編寫,需要再多加練習。實驗三一 實驗題目中斷系統(tǒng)和定時/計數(shù)器二 實驗環(huán)境 win7 MedwinV3.0中文版三 實驗要求使用定時器中斷控制發(fā)光二極管每隔一段時間閃爍一次,并設置中斷使數(shù)碼管計數(shù)從0到59。四 實驗設計80C51的中斷系統(tǒng)有5個中斷源(8052有 6個) ,2個優(yōu)先級,可實現(xiàn)二級中斷嵌套 :1.可由IT0(TCON.0)選擇其為低電平有效還是下降沿有效。當CPU檢測到P3.2引腳上出現(xiàn)有效的中斷信號時,中斷標志IE0(TCON.1)置1,向CPU申請中斷。2. 可由IT1(TCON.2)選擇

7、其為低電平有效還是下降沿有效。當CPU檢測到P3.3引腳上出現(xiàn)有效的中斷信號時,中斷標志IE1(TCON.3)置1,向CPU申請中斷。3. TF0(TCON.5),片內(nèi)定時/計數(shù)器T0溢出中斷請求標志。當定時/計數(shù)器T0發(fā)生溢出時,置位TF0,并向CPU申請中斷。(本次實驗用到該中斷)4. TF1(TCON.7),片內(nèi)定時/計數(shù)器T1溢出中斷請求標志。當定時/計數(shù)器T1發(fā)生溢出時,置位TF1,并向CPU申請中斷。5. RI(SCON.0)或TI(SCON.1),串行口中斷請求標志。當串行口接收完一幀串行數(shù)據(jù)時置位RI或當串行口發(fā)送完一幀串行數(shù)據(jù)時置位TI,向CPU申請中斷。80C51單片機的中

8、斷優(yōu)先級有三條原則:1.CPU同時接收到幾個中斷時,首先響應優(yōu)先級別最高的中斷請求。2.正在進行的中斷過程不能被新的同級或低優(yōu)先級的中斷請求所中斷。3.正在進行的低優(yōu)先級中斷服務,能被高優(yōu)先級中斷請求所中斷。為了實現(xiàn)上述后兩條原則,中斷系統(tǒng)內(nèi)部設有兩個用戶不能尋址的優(yōu)先級狀態(tài)觸發(fā)器。其中一個置1,表示正在響應高優(yōu)先級的中斷,它將阻斷后來所有的中斷請求;另一個置1,表示正在響應低優(yōu)先級中斷,它將阻斷后來所有的低優(yōu)先級中斷請求。實驗所需程序如下:五 實驗仿真與測試六 實驗結果分析在程序中設置初始化程序:1.對TMOD賦值,以確定T0和T1的工作方式。2.計算初值,并將其寫入TH0、TL0或TH1、

9、TL1。3.中斷方式時,則對IE賦值,開放中斷。EA=1;ET0=1。4.使TR0或TR1置位,啟動定時/計數(shù)器定時或計數(shù)。輸入中斷向量是10,則數(shù)碼管輸出0到9然后循環(huán),LED燈隨程序設計所示,一直閃爍。七 實驗小結這次實驗我學習了中斷系統(tǒng),并且學會如何去設計一個計數(shù)器,但是在實驗過程設計中,LED燈的閃爍頻率與數(shù)碼管計數(shù)的頻率不相同,所以無法兩個同時實現(xiàn),我要在今后的學習中思考這個問題。實驗四一 實驗題目FPGA中串口設計二 實驗環(huán)境 win7 MedwinV3.0中文版三 實驗要求編寫程序控制串口接收主機發(fā)送的數(shù)據(jù),例如,在數(shù)碼管上顯示出自己的學號。四 實驗設計使用方式一:一幀10位:8

10、位數(shù)據(jù)位,1個起始位(0),1個停止位(1)。RXD:接收數(shù)據(jù)端。TXD:發(fā)送數(shù)據(jù)端。波特率:用T1作為波特率發(fā)生器,B=(2SMOD/32)×T1溢出率。發(fā)送:寫入SBUF,同時啟動發(fā)送,一幀發(fā)送結束,TI=1。接收:REN=1,允許接收。接收完一幀,若RI=0且停止位為1 (或SM2=0),將接收數(shù)據(jù)裝入SBUF,停止位裝入RB8,并使RI=1;否則丟棄接收數(shù)據(jù),不置位RI。當REN=1,CPU開始采樣RXD引腳負跳變信號,若出現(xiàn)負跳變,才進入數(shù)據(jù)接收狀態(tài),先檢測起始位,若第一位為0,繼續(xù)接收其余位;否則,停止接收,重新采樣負跳變。數(shù)據(jù)采樣速率為波特率16倍頻,在數(shù)據(jù)位中間,用第7、8、9個脈沖采樣3次數(shù)據(jù)位,并3中取2保留采樣值。程序如下:五 實驗仿真與測試六 實驗結果分析在程序部分,設

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論