籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)_第1頁(yè)
籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)_第2頁(yè)
籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)_第3頁(yè)
籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)_第4頁(yè)
籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩439頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)籠型異步電機(jī)變壓變頻調(diào)速系統(tǒng)(VVVF系統(tǒng))系統(tǒng))轉(zhuǎn)差功率不變型調(diào)速系統(tǒng)轉(zhuǎn)差功率不變型調(diào)速系統(tǒng)第第 6 章章 概概 述述 異步電機(jī)的變壓變頻調(diào)速系統(tǒng)一般簡(jiǎn)稱為變頻調(diào)速系統(tǒng)。由于在調(diào)速時(shí)轉(zhuǎn)差功率不隨轉(zhuǎn)速而變化,調(diào)速范圍寬,無(wú)論是高速還是低速時(shí)效率都較高,在采取一定的技術(shù)措施后能實(shí)現(xiàn)高動(dòng)態(tài)性能,可與直流調(diào)速系統(tǒng)媲美。本章提要本章提要n變壓變頻調(diào)速的基本控制方式n異步電動(dòng)機(jī)電壓頻率協(xié)調(diào)控制時(shí)的機(jī)械特性n*電力電子變壓變頻器的主要類型n變壓變頻調(diào)速系統(tǒng)中的脈寬調(diào)制(PWM)技術(shù)n基于異步電動(dòng)機(jī)穩(wěn)態(tài)模型的變壓變頻調(diào)速n異步電動(dòng)機(jī)的動(dòng)態(tài)數(shù)學(xué)模型和坐標(biāo)變換n基于動(dòng)態(tài)模型按轉(zhuǎn)子磁鏈

2、定向的矢量控制系統(tǒng)n基于動(dòng)態(tài)模型按定子磁鏈控制的直接轉(zhuǎn)矩控制系統(tǒng) 6.1 變壓變頻調(diào)速的基本控制方式變壓變頻調(diào)速的基本控制方式 在進(jìn)行電機(jī)調(diào)速時(shí),常須考慮的一個(gè)重要因素是:希望保持電機(jī)中每極磁通量 m 為額定值不變。如果磁通太弱,沒(méi)有充分利用電機(jī)的鐵心,是一種浪費(fèi);如果過(guò)分增大磁通,又會(huì)使鐵心飽和,從而導(dǎo)致過(guò)大的勵(lì)磁電流,嚴(yán)重時(shí)會(huì)因繞組過(guò)熱而損壞電機(jī)。n對(duì)于直流電機(jī),勵(lì)磁系統(tǒng)是獨(dú)立的,只要對(duì)電樞反應(yīng)有恰當(dāng)?shù)难a(bǔ)償,m 保持不變是很容易做到的。n在交流異步電機(jī)中,磁通 m 由定子和轉(zhuǎn)子磁勢(shì)合成產(chǎn)生,要保持磁通恒定就需要費(fèi)一些周折了。 定子每相電動(dòng)勢(shì)mNs1g44. 4SkNfE (6-1) 式中:

3、Eg 氣隙磁通在定子每相中感應(yīng)電動(dòng)勢(shì)的有效值,單位為V; 定子頻率,單位為Hz; 定子每相繞組串聯(lián)匝數(shù); 基波繞組系數(shù); 每極氣隙磁通量,單位為Wb。 f1NskNsm 由式(6-1)可知,只要控制好 Eg 和 f1 ,便可達(dá)到控制磁通m 的目的,對(duì)此,需要考慮基頻(額定頻率)以下和基頻以上兩種情況。 1. 基頻以下調(diào)速 由式(6-1)可知,要保持 m 不變,當(dāng)頻率 f1 從額定值 f1N 向下調(diào)節(jié)時(shí),必須同時(shí)降低 Eg ,使 1gfE常值 (6-2) 即采用恒值電動(dòng)勢(shì)頻率比的控制方式采用恒值電動(dòng)勢(shì)頻率比的控制方式。 恒壓頻比的控制方式 然而,繞組中的感應(yīng)電動(dòng)勢(shì)是難以直接控制的,當(dāng)電動(dòng)勢(shì)值較高

4、時(shí),可以忽略定子繞組的漏磁阻抗壓降,而認(rèn)為定子相電壓 Us Eg,則得(6-3) 這是恒壓頻比的控制方式恒壓頻比的控制方式。常值1fUs 但是,在低頻時(shí) Us 和 Eg 都較小,定子阻抗壓降所占的份量就比較顯著,不再能忽略。這時(shí),需要人為地把電壓 Us 抬高一些,以便近似地補(bǔ)償定子壓降近似地補(bǔ)償定子壓降。 帶定子壓降補(bǔ)償?shù)暮銐侯l比控制特性示于下圖中的 b 線,無(wú)補(bǔ)償?shù)目刂铺匦詣t為a 線。 OUsf 1圖6-1 恒壓頻比控制特性 帶壓降補(bǔ)償?shù)暮銐侯l比控制特性UsNf 1Na 無(wú)補(bǔ)償無(wú)補(bǔ)償 b 帶定子壓降補(bǔ)償帶定子壓降補(bǔ)償 2. 基頻以上調(diào)速 在基頻以上調(diào)速時(shí),頻率應(yīng)該從 f1N 向上升高,但定子

5、電壓Us 卻不可能超過(guò)額定電壓UsN ,最多只能保持Us = UsN ,這將迫使磁通與頻率成反比地降低,相當(dāng)于直流電機(jī)弱磁升速的情況。 把基頻以下和基頻以上兩種情況的控制特性畫(huà)在一起,如下圖所示。 f1N 變壓變頻控制特性圖6-2 異步電機(jī)變壓變頻調(diào)速的控制特性 恒轉(zhuǎn)矩調(diào)速恒轉(zhuǎn)矩調(diào)速UsUsNmNm恒功率調(diào)速恒功率調(diào)速mUsf1O 如果電機(jī)在不同轉(zhuǎn)速時(shí)所帶的負(fù)載都能使電流達(dá)到額定值,即都能在允許溫升下長(zhǎng)期運(yùn)行,則轉(zhuǎn)矩基本上隨磁通變化,按照電力拖動(dòng)原理,在基頻以下,磁通恒定時(shí)轉(zhuǎn)矩也恒定,屬于“恒轉(zhuǎn)矩調(diào)速”性質(zhì),而在基頻以上,轉(zhuǎn)速升高時(shí)轉(zhuǎn)矩降低,基本上屬于“恒功率調(diào)速”。返回目錄返回目錄6.2 異

6、步電動(dòng)機(jī)電壓頻率協(xié)調(diào)控制時(shí)異步電動(dòng)機(jī)電壓頻率協(xié)調(diào)控制時(shí) 的機(jī)械特性的機(jī)械特性本節(jié)提要本節(jié)提要n恒壓恒頻正弦波供電時(shí)異步電動(dòng)機(jī)的機(jī)械恒壓恒頻正弦波供電時(shí)異步電動(dòng)機(jī)的機(jī)械特性特性n基頻以下電壓基頻以下電壓-頻率協(xié)調(diào)控制時(shí)的機(jī)械特性頻率協(xié)調(diào)控制時(shí)的機(jī)械特性n基頻以上恒壓變頻時(shí)的機(jī)械特性基頻以上恒壓變頻時(shí)的機(jī)械特性n恒流正弦波供電時(shí)的機(jī)械特性恒流正弦波供電時(shí)的機(jī)械特性6.2.1 恒壓恒頻正弦波供電時(shí)異步電動(dòng)機(jī)的恒壓恒頻正弦波供電時(shí)異步電動(dòng)機(jī)的 機(jī)械特性機(jī)械特性 第5章式(5-3)已給出異步電機(jī)在恒壓恒頻正弦波供電時(shí)的機(jī)械特性方程式 Te= f (s)。 當(dāng)定子電壓 Us 和電源角頻率 1 恒定時(shí),可以

7、改寫(xiě)成如下形式: 2rs2122rsr121spe)()(3llLLsRsRRsUnT(6-4) 特性分析當(dāng)s很小時(shí),可忽略上式分母中含s各項(xiàng),則(6-5) 也就是說(shuō),當(dāng)s很小時(shí),轉(zhuǎn)矩近似與s成正比,機(jī)械特性 Te = f(s)是一段直線,見(jiàn)圖6-3。sRsUnTr121spe3 特性分析(續(xù)) 當(dāng) s 接近于1時(shí),可忽略式(6-4)分母中的Rr ,則 sLLRsRUnTll1)(32rs212sr121spe(6-6)即s接近于1時(shí)轉(zhuǎn)矩近似與s成反比,這時(shí), Te = f(s)是對(duì)稱于原點(diǎn)的一段雙曲線。 機(jī)械特性 當(dāng) s 為以上兩段的中間數(shù)值時(shí),機(jī)械特性從直線段逐漸過(guò)渡到雙曲線段,如圖所示。

8、smnn0sTe010TeTemaxTemax圖6-3 恒壓恒頻時(shí)異步電機(jī)的機(jī)械特性6.2.2 基頻以下電壓基頻以下電壓-頻率協(xié)調(diào)控制時(shí)的頻率協(xié)調(diào)控制時(shí)的 機(jī)械特性機(jī)械特性 由式(6-4)機(jī)械特性方程式可以看出,對(duì)于同一組轉(zhuǎn)矩 Te 和轉(zhuǎn)速 n(或轉(zhuǎn)差率s)的要求,電壓 Us 和頻率 1 可以有多種配合。 在 Us 和 1 的不同配合下機(jī)械特性也是不一樣的,因此可以有不同方式的電壓頻率協(xié)調(diào)控制。 1. 恒壓頻比控制( Us /1 ) 在第6-1節(jié)中已經(jīng)指出,為了近似地保持氣隙磁通不變,以便充分利用電機(jī)鐵心,發(fā)揮電機(jī)產(chǎn)生轉(zhuǎn)矩的能力,在基頻以下須采用恒壓頻比控制。這時(shí),同步轉(zhuǎn)速自然要隨頻率變化。

9、p10260nn(6-7) 在式(6-5)所表示的機(jī)械特性近似直線段上,可以導(dǎo)出 21sper13UnTRs(6-9) 帶負(fù)載時(shí)的轉(zhuǎn)速降落為 1p0260snsnn(6-8) 由此可見(jiàn),當(dāng) Us /1 為恒值時(shí),對(duì)于同一轉(zhuǎn)矩 Te ,s1 是基本不變的,因而 n 也是基本不變的。這就是說(shuō),在恒壓頻比的條件下改變頻率 1 時(shí),機(jī)械特性基本上是平行下移,如圖6-4所示。它們和直流他勵(lì)電機(jī)變壓調(diào)速時(shí)的情況基本相似。 所不同的是,當(dāng)轉(zhuǎn)矩增大到最大值以后,轉(zhuǎn)速再降低,特性就折回來(lái)了。而且頻率越低時(shí)最大轉(zhuǎn)矩值越小,可參看第5章式(5-5),對(duì)式(5-5)稍加整理后可得 2rs21s1s21spmaxe)(

10、123llLLRRUnT(6-10) 可見(jiàn)最大轉(zhuǎn)矩 Temax 是隨著的 1 降低而減小的。頻率很低時(shí),Temax太小將限制電機(jī)的帶載能力,采用定子壓降補(bǔ)償,適當(dāng)?shù)靥岣唠妷篣s,可以增強(qiáng)帶載能力,見(jiàn)圖6-4。 機(jī)械特性曲線eTOnN0n03n02n01nN1111213131211N1圖6-4 恒壓頻比控制時(shí)變頻調(diào)速的機(jī)械特性補(bǔ) 償 定 子 壓降后的特性2. 恒 Eg / 1 控制 下圖再次繪出異步電機(jī)的穩(wěn)態(tài)等效電路,圖中幾處感應(yīng)電動(dòng)勢(shì)的意義如下: Eg 氣隙(或互感)磁通在定子每相繞組中 的感應(yīng)電動(dòng)勢(shì); Es 定子全磁通在定子每相繞組中的感應(yīng)電 動(dòng)勢(shì); Er 轉(zhuǎn)子全磁通在轉(zhuǎn)子繞組中的感應(yīng)電動(dòng)

11、勢(shì) (折合到定子邊)。 異步電動(dòng)機(jī)等效電路圖6-5 異步電動(dòng)機(jī)穩(wěn)態(tài)等效電路和感應(yīng)電動(dòng)勢(shì) Us1RsLlsLlrLmRr /sIsI0IrEgEsEr 特性分析 如果在電壓頻率協(xié)調(diào)控制中,恰當(dāng)?shù)靥岣唠妷?Us 的數(shù)值,使它在克服定子阻抗壓降以后,能維持 Eg /1 為恒值(基頻以下),則由式(6-1)可知,無(wú)論頻率高低,每極磁通 m 均為常值。 特性分析(續(xù))由等效電路可以看出 2r212rgrlLsREI(6-11)代入電磁轉(zhuǎn)矩關(guān)系式,得2 r2122 rr121gpr2r212r2g1pe33llLsRRsEnsRLsREnT(6-12) 特性分析(續(xù)) 利用與前相似的分析方法,當(dāng)s很小時(shí),

12、可忽略式(6-12)分母中含 s 項(xiàng),則 sRsEnTr121gpe3(6-13) 這表明機(jī)械特性的這一段近似為一條直線。特性分析(續(xù)) 當(dāng) s 接近于1時(shí),可忽略式(6-12)分母中的 Rr2 項(xiàng),則 sLsREnTl132 r1r21gpe(6-14) s 值為上述兩段的中間值時(shí),機(jī)械特性在直線和雙曲線之間逐漸過(guò)渡,整條特性與恒壓頻比特性相似。 性能比較 但是,對(duì)比式(6-4)和式(6-12)可以看出,恒 Eg /1 特性分母中含 s 項(xiàng)的參數(shù)要小于恒 Us /1 特性中的同類項(xiàng),也就是說(shuō), s 值要更大一些才能使該項(xiàng)占有顯著的份量,從而不能被忽略,因此恒 Eg /1 特性的線性段范圍更寬

13、。性能比較(續(xù)) 將式(6-12)對(duì) s 求導(dǎo),并令 dTe / ds = 0,可得恒Eg /1控制特性在最大轉(zhuǎn)矩時(shí)的轉(zhuǎn)差率 r1rmlLRs(6-15) 和最大轉(zhuǎn)矩r21gpmaxe123lLEnT(6-16) 性能比較(續(xù)) 值得注意的是,在式(6-16)中,當(dāng)Eg /1 為恒值時(shí),Temax 恒定不變,如下圖所示,其穩(wěn)態(tài)性能優(yōu)于恒 Us /1 控制的性能。 這正是恒 Eg /1 控制中補(bǔ)償定子壓降所追求的目標(biāo)。 機(jī)械特性曲線eTOnN0n03n02n01nN1111213131211N1Temax恒 Eg /1 控制時(shí)變頻調(diào)速的機(jī)械特性3. 恒 Er / 1 控制 如果把電壓頻率協(xié)調(diào)控制

14、中的電壓再進(jìn)一步提高,把轉(zhuǎn)子漏抗上的壓降也抵消掉,得到恒 Er /1 控制,那么,機(jī)械特性會(huì)怎樣呢?由此可寫(xiě)出 sREI/rrr(6-17) Us1RsLlsLlrLmRr /sIsI0IrEgEsEr代入電磁轉(zhuǎn)矩基本關(guān)系式,得 r121rpr2r2r1pe33RsEnsRsREnT(6-18) 現(xiàn)在,不必再作任何近似就可知道,這時(shí)的機(jī)械特性完全是一條直線,見(jiàn)圖6-6。0s10Te 幾種電壓頻率協(xié)調(diào)控制方式的特性比較圖6-6 不同電壓頻率協(xié)調(diào)控制方式時(shí)的機(jī)械特性恒 Er /1 控制恒 Eg /1 控制恒 Us /1 控制ab c 顯然,恒 Er /1 控制的穩(wěn)態(tài)性能最好,可以獲得和直流電機(jī)一樣

15、的線性機(jī)械特性。這正是高性能交流變頻調(diào)速所要求的性能。 現(xiàn)在的問(wèn)題是,怎樣控制變頻裝置的電壓和頻率才能獲得恒定的 Er /1 呢? 按照式(6-1)電動(dòng)勢(shì)和磁通的關(guān)系,可以看出,當(dāng)頻率恒定時(shí),電動(dòng)勢(shì)與磁通成正比。在式(6-1)中,氣隙磁通的感應(yīng)電動(dòng)勢(shì) Eg 對(duì)應(yīng)于氣隙磁通幅值 m ,那么,轉(zhuǎn)子全磁通的感應(yīng)電動(dòng)勢(shì) Er 就應(yīng)該對(duì)應(yīng)于轉(zhuǎn)子全磁通幅值 rm :rmNs1r44. 4skNfE (6-19) 由此可見(jiàn),只要能夠按照轉(zhuǎn)子全磁通幅值 rm = Constant 進(jìn) 行控制,就可以獲得恒 Er /1 了。這正是矢量控制系統(tǒng)所遵循的原則,下面在第6-7節(jié)中將詳細(xì)討論。 4幾種協(xié)調(diào)控制方式的比較

16、 綜上所述,在正弦波供電時(shí),按不同規(guī)律實(shí)現(xiàn)電壓頻率協(xié)調(diào)控制可得不同類型的機(jī)械特性。 (1)恒壓頻比( Us /1 = Constant )控制最容易實(shí)現(xiàn),它的變頻機(jī)械特性基本上是平行下移,硬度也較好,能夠滿足一般的調(diào)速要求,但低速帶載能力有些差強(qiáng)人意,須對(duì)定子壓降實(shí)行補(bǔ)償。 (2)恒Eg /1 控制是通常對(duì)恒壓頻比控制實(shí)行電壓補(bǔ)償?shù)臉?biāo)準(zhǔn),可以在穩(wěn)態(tài)時(shí)達(dá)到rm = Constant,從而改善了低速性能。但機(jī)械特性還是非線性的,產(chǎn)生轉(zhuǎn)矩的能力仍受到限制。 (3)恒 Er /1 控制可以得到和直流他勵(lì)電機(jī)一樣的線性機(jī)械特性,按照轉(zhuǎn)子全磁通 rm 恒定進(jìn)行控制,即得 Er /1 = Constant

17、而且,在動(dòng)態(tài)中也盡可能保持 rm 恒定是矢量控制系統(tǒng)的目標(biāo),當(dāng)然實(shí)現(xiàn)起來(lái)是比較復(fù)雜的。6.2.3 基頻以上恒壓變頻時(shí)的機(jī)械特性基頻以上恒壓變頻時(shí)的機(jī)械特性 性能分析性能分析 在基頻以上變頻調(diào)速時(shí),由于定子電壓 Us= UsN 不變,式(6-4)的機(jī)械特性方程式可寫(xiě)成 2rs2122rs1r2sNpe)()(3llLLsRsRsRUnT(6-20) 性能分析(續(xù)) 而式(6-10)的最大轉(zhuǎn)矩表達(dá)式可改寫(xiě)成(6-21) 同步轉(zhuǎn)速的表達(dá)式仍和式(6-7)一樣。2rs212ss12sNpmaxe)(123llLLRRUnT 機(jī)械特性曲線恒功率調(diào)速恒功率調(diào)速eTOnN0nc0nb0na0nN1a1b1c

18、1c1b1a1N1 由此可見(jiàn),當(dāng)角頻率提高時(shí),同步轉(zhuǎn)速隨之提高,最大轉(zhuǎn)矩減小,機(jī)械特性上移,而形狀基本不變,如圖所示。圖6-7 基頻以上恒壓變頻調(diào)速的機(jī)械特性 由于頻率提高而電壓不變,氣隙磁通勢(shì)必減弱,導(dǎo)致轉(zhuǎn)矩的減小,但轉(zhuǎn)速升高了,可以認(rèn)為輸出功率基本不變。所以基頻以上變頻調(diào)速屬于弱磁恒功率調(diào)速。 最后,應(yīng)該指出,以上所分析的機(jī)械特性都是在正弦波電壓供電下的情況。 小小 結(jié)結(jié)n電壓Us與頻率1是變頻器異步電動(dòng)機(jī)調(diào)速系統(tǒng)的兩個(gè)獨(dú)立的控制變量,在變頻調(diào)速時(shí)需要對(duì)這兩個(gè)控制變量進(jìn)行協(xié)調(diào)控制。n在基頻以下,有三種協(xié)調(diào)控制方式。采用不同的協(xié)調(diào)控制方式,得到的系統(tǒng)穩(wěn)態(tài)性能不同,其中恒Er /1控制的性能最

19、好。n在基頻以上,采用保持電壓不變的恒功率弱磁調(diào)速方法。返回目錄返回目錄*6.3 電力電子變壓變頻器的主要類型電力電子變壓變頻器的主要類型本節(jié)提要本節(jié)提要n交交-直直-交和交交和交-交變壓變頻器交變壓變頻器n電壓源型和電流源型逆變器電壓源型和電流源型逆變器n180導(dǎo)通型和導(dǎo)通型和120導(dǎo)通型逆變器導(dǎo)通型逆變器 引引 言言 如前所述,對(duì)于異步電機(jī)的變壓變頻調(diào)速,必須具備能夠同時(shí)控制電壓幅值和頻率的交流電源,而電網(wǎng)提供的是恒壓恒頻的電源,因此應(yīng)該配置變壓變頻器,又稱VVVF(Variable Voltage Variable Frequency)裝置。 最早的VVVF裝置是旋轉(zhuǎn)變頻機(jī)組,從電力電子

20、器件獲得廣泛應(yīng)用以后,旋轉(zhuǎn)變頻機(jī)組已經(jīng)無(wú)例外地讓位給靜止式的變壓變頻器了。 *6.3.1 交交-直直-交和交交和交-交變壓變頻器交變壓變頻器 從整體結(jié)構(gòu)上看,電力電子變壓變頻器可分為交-直-交和交-交兩大類。 1.交交-直直-交變壓變頻器交變壓變頻器 交-直-交變壓變頻器先將工頻交流電源通過(guò)整流器變換成直流,再通過(guò)逆變器變換成可控頻率和電壓的交流,如下圖所示。 交-直-交變壓變頻器基本結(jié)構(gòu)圖6-9 交-直-交(間接)變壓變頻器 變壓變頻變壓變頻(VVVF)中間直流環(huán)節(jié)中間直流環(huán)節(jié)恒壓恒頻恒壓恒頻(CVCF)逆變逆變DCACAC50Hz整流整流 由于這類變壓變頻器在恒頻交流電源和變頻交流輸出之間

21、有一個(gè)“中間直流環(huán)節(jié)”,所以又稱間接式的變壓變頻器。 具體的整流和逆變電路種類很多,當(dāng)前應(yīng)用最廣的是由二極管組成不控整流器和由功率開(kāi)關(guān)器件(P-MOSFET,IGBT等)組成的脈寬調(diào)制(PWM)逆變器,簡(jiǎn)稱PWM變壓變頻器,如下圖所示。 交-直-交PWM變壓變頻器基本結(jié)構(gòu)圖6-10 交-直-交PWM變壓變頻器變壓變頻變壓變頻(VVVF)中間直流環(huán)節(jié)中間直流環(huán)節(jié)恒壓恒頻恒壓恒頻(CVCF)PWM逆變器逆變器DCACAC50Hz調(diào)壓調(diào)頻調(diào)壓調(diào)頻C PWM變壓變頻器的應(yīng)用之所以如此廣泛,是由于它具有如下的一系列優(yōu)點(diǎn): (1)在主電路整流和逆變兩個(gè)單元中,只有逆變單元可控,通過(guò)它同時(shí)調(diào)節(jié)電壓和頻率,結(jié)

22、構(gòu)簡(jiǎn)單。采用全控型的功率開(kāi)關(guān)器件,只通過(guò)驅(qū)動(dòng)電壓脈沖進(jìn)行控制,電路也簡(jiǎn)單,效率高。 (2)輸出電壓波形雖是一系列的PWM波,但由于采用了恰當(dāng)?shù)腜WM控制技術(shù),正弦基波的比重較大,影響電機(jī)運(yùn)行的低次諧波受到很大的抑制,因而轉(zhuǎn)矩脈動(dòng)小,提高了系統(tǒng)的調(diào)速范圍和穩(wěn)態(tài)性能。 (3)逆變器同時(shí)實(shí)現(xiàn)調(diào)壓和調(diào)頻,動(dòng)態(tài)響應(yīng)不受中間直流環(huán)節(jié)濾波器參數(shù)的影響,系統(tǒng)的動(dòng)態(tài)性能也得以提高。 (4)采用不可控的二極管整流器,電源側(cè)功率因素較高,且不受逆變輸出電壓大小的影響。 PWM變壓變頻器常用器件有:P-MOSFET,IGBT,GTO和替代GTO的電壓控制器件如IGCT、IEGT等。 對(duì)于特大容量電機(jī)的變壓變頻調(diào)速仍采

23、用半控型的晶閘管(SCR),并用可控整流器調(diào)壓和六拍逆變器調(diào)頻的交-直-交變壓變頻器,見(jiàn)下圖。 普通交-直-交變壓變頻器的基本結(jié)構(gòu)SCR可控可控整流器整流器六六 拍拍逆變器逆變器DCACAC50Hz調(diào)頻調(diào)頻調(diào)壓調(diào)壓圖6-11 可控整流器調(diào)壓、六拍逆變器調(diào)頻的交-直-交變壓變頻器2. 交-交變壓變頻器 交-交變壓變頻器的基本結(jié)構(gòu)如下圖所示,它只有一個(gè)變換環(huán)節(jié),把恒壓恒頻(CVCF)的交流電源直接變換成VVVF輸出,因此又稱直接式變壓變頻器。 有時(shí)為了突出其變頻功能,也稱作周波變換器(Cycloconveter)。 交-交變壓變頻器的基本結(jié)構(gòu)圖6-12 交-交(直接)變壓變頻器交交變頻交交變頻AC

24、50HzACCVCFVVVF 常用的交-交變壓變頻器輸出的每一相都是一個(gè)由正、反兩組晶閘管可控整流裝置反并聯(lián)的可逆線路。 也就是說(shuō),每一相都相當(dāng)于一套直流可逆調(diào)速系統(tǒng)的反并聯(lián)可逆線路(下圖a)。交-交變壓變頻器的基本電路結(jié)構(gòu)VRVFId-Id+-+a) 電路結(jié)構(gòu)負(fù)負(fù)載載50Hz50Hzu0圖6-13-a 交-交變壓變頻器每一相的可逆線路交-交變壓變頻器的控制方式n整半周控制方式整半周控制方式 正、反兩組按一定周期相互切換,在負(fù)載上就獲得交變的輸出電壓 u0 u0 的幅值決定于各組可控整流裝置的控制角 u0 的頻率決定于正、反兩組整流裝置的切換頻率。 如果控制角一直不變,則輸出什么?是方波 圖6

25、-13 -b 方波型平均輸出電壓波形tu0正組通正組通反組通反組通正組通正組通反組通反組通輸出電壓波形 控制方式( 2 )n 調(diào)制控制方式調(diào)制控制方式 要獲得正弦波輸出,就必須在每一組整流裝置導(dǎo)通期間不斷改變其控制角。例如例如:在正向組導(dǎo)通的半個(gè)周期中,使控制角 由/2(對(duì)應(yīng)于平均電壓 u0 = 0)逐漸減小到 0(對(duì)應(yīng)于 u0 最大),然后再逐漸增加到 /2( u0 再變?yōu)?),如下圖所示。2AO t 0 2 BCDEFu0圖6-14 交-交變壓變頻器的單相正弦波輸出電壓波形輸出電壓波形 當(dāng)角按正弦規(guī)律變化時(shí),半周中的平均輸出電壓即為圖中虛線所示的正弦波。對(duì)反向組負(fù)半周的控制也是這樣。 單相

26、交交變頻電路輸出電壓和電流波形1OO23456圖4-20uoiott 三相交交變頻電路 三相交交變頻電路可以由3個(gè)單相交交變頻電路組成,其基本結(jié)構(gòu)如下圖所示。 如果每組可控整流裝置都用橋式電路,含6個(gè)晶閘管(當(dāng)每一橋臂都是單管時(shí)),則三相可逆線路共需36個(gè)晶閘管,即使采用零式電路也須18個(gè)晶閘管。 三相交交變頻器的基本結(jié)構(gòu) 輸出星形聯(lián)結(jié)方式三相交交變頻電路三相橋式交交變頻電路 因此,這樣的交-交變壓變頻器雖然在結(jié)構(gòu)上只有一個(gè)變換環(huán)節(jié),省去了中間直流環(huán)節(jié),看似簡(jiǎn)單,但所用的器件數(shù)量卻很多,總體設(shè)備相當(dāng)龐大。 不過(guò)這些設(shè)備都是直流調(diào)速系統(tǒng)中常用的可逆整流裝置,在技術(shù)上和制造工藝上都很成熟,目前國(guó)內(nèi)

27、有些企業(yè)已有可靠的產(chǎn)品。 這類交-交變頻器的其他缺點(diǎn)是:輸入功率因數(shù)較低,諧波電流含量大,頻譜復(fù)雜,因此須配置諧波濾波和無(wú)功補(bǔ)償設(shè)備。其最高輸出頻率不超過(guò)電網(wǎng)頻率的 1/3 。 一般主要用于軋機(jī)主傳動(dòng)、球磨機(jī)、水泥回轉(zhuǎn)窯等大容量、低轉(zhuǎn)速的調(diào)速系統(tǒng),供電給低速電機(jī)直接傳動(dòng)時(shí),可以省去龐大的齒輪減速箱。 近年來(lái)又出現(xiàn)了一種采用全控型開(kāi)關(guān)器件的矩陣式交-交變壓變頻器,類似于 PWM控制方式,輸出電壓和輸入電流的低次諧波都較小,輸入功率因數(shù)可調(diào),能量可雙向流動(dòng),以獲得四象限運(yùn)行,但當(dāng)輸出電壓必須為正弦波時(shí),最大輸出輸入電壓比只有0.866。目前這類變壓變頻器尚處于開(kāi)發(fā)階段,其發(fā)展前景是很好的。 *6.

28、3.2 電壓源型和電流源型逆變器電壓源型和電流源型逆變器 在交-直-交變壓變頻器中,按照中間直流環(huán)節(jié)直流電源性質(zhì)的不同,逆變器可以分成電壓源型電壓源型和電流源型電流源型兩類,兩種類型的實(shí)際區(qū)別在于直流環(huán)節(jié)采用怎樣的濾實(shí)際區(qū)別在于直流環(huán)節(jié)采用怎樣的濾波器波器。下圖繪出了電壓源型和電流源型逆變器的示意圖。 兩種類型逆變器結(jié)構(gòu)逆變器逆變器LdIdCdUdUd+-a) 電壓源逆變器b) 電流源逆變器圖6-15 電壓源型和電流源型逆變器示意圖n電壓源型逆變器電壓源型逆變器(Voltage Source Inverter -VSI ),直流環(huán)節(jié)采用大電容濾波,因而直流電壓波形比較平直,在理想情況下是一個(gè)內(nèi)

29、阻為零的恒壓源,輸出交流電壓是矩形波或階梯波,有時(shí)簡(jiǎn)稱電壓型逆變器。n電流源型逆變器電流源型逆變器(Current Source Inverter- CSI),直流環(huán)節(jié)采用大電感濾波,直流電流波形比較平直,相當(dāng)于一個(gè)恒流源,輸出交流電流是矩形波或階梯波,或簡(jiǎn)稱電流型逆變器。 性能比較 兩類逆變器在主電路上雖然只是濾波環(huán)節(jié)的不同,在性能上卻帶來(lái)了明顯的差異,主要表現(xiàn)如下: (1)無(wú)功能量的緩沖)無(wú)功能量的緩沖 在調(diào)速系統(tǒng)中,逆變器的負(fù)載是異步電機(jī),屬感性負(fù)載。在中間直流環(huán)節(jié)與負(fù)載電機(jī)之間,除了有功功率的傳送外,還存在無(wú)功功率的交換。濾波器除濾波外還起著對(duì)無(wú)功功率的緩沖作用,使它不致影響到交流電網(wǎng)

30、。 因此,兩類逆變器的區(qū)別還表現(xiàn)在采用什么儲(chǔ)能元件(電容器或電感器)來(lái)緩沖無(wú)功能量。 (2)能量的回饋)能量的回饋 用電流源型逆變器給異步電機(jī)供電的電流源型變壓變頻調(diào)速系統(tǒng)有一個(gè)顯著特征,就是容易實(shí)現(xiàn)能量的回饋,從而便于四象限運(yùn)行,適用于需要回饋制動(dòng)和經(jīng)常正、反轉(zhuǎn)的生產(chǎn)機(jī)械。 下面以由晶閘管可控整流器UCR和電流源型串聯(lián)二極管式晶閘管逆變器CSI構(gòu)成的交-直-交變壓變頻調(diào)速系統(tǒng)(如下圖所示)為例,說(shuō)明電動(dòng)運(yùn)行和回饋制動(dòng)兩種狀態(tài)。圖6-16-a 電流源型交-直-交變壓變頻調(diào)速系統(tǒng)的兩種運(yùn)行狀態(tài)M3+-UdIdLdCSI 電動(dòng)Te 逆變UCRa)電動(dòng)運(yùn)行 電動(dòng)運(yùn)行狀態(tài)P 當(dāng)電動(dòng)運(yùn)行時(shí),UCR的控制

31、角 ,電動(dòng)機(jī)以轉(zhuǎn)速運(yùn)行,電功率的傳送方向如上圖a所示。圖6-16-b 電流源型交-直-交變壓變頻調(diào)速系統(tǒng)的兩種運(yùn)行狀態(tài)M3+-UdIdLdCSI 90o有源逆變1 發(fā)電Te整流UCRb)逆變運(yùn)行逆變運(yùn)行狀態(tài)Pn如果降低變壓變頻器的輸出頻率 1,或從機(jī)械上抬高電機(jī)轉(zhuǎn)速 ,使 1 90 ,則異步電機(jī)轉(zhuǎn)入發(fā)電狀態(tài),逆變器轉(zhuǎn)入整流狀態(tài),而可控整流器轉(zhuǎn)入有源逆變狀態(tài),此時(shí)直流電壓Ud 立即反向,而電流 Id 方向不變,電能由電機(jī)回饋給交流電網(wǎng)(圖b)。 與此相反,采用電壓源型的交-直-交變壓變頻調(diào)速系統(tǒng)要實(shí)現(xiàn)回饋制動(dòng)和四象限運(yùn)行卻很困難,因?yàn)槠渲虚g直流環(huán)節(jié)有大電容鉗制著電壓的極性,不可能迅速反向,而電流

32、受到器件單向?qū)щ娦缘闹萍s也不能反向,所以在原裝置上無(wú)法實(shí)現(xiàn)回饋制動(dòng)。 必須制動(dòng)時(shí),只得在直流環(huán)節(jié)中并聯(lián)電阻實(shí)現(xiàn)能耗制動(dòng),或者與UCR反并聯(lián)一組反向的可控整流器,用以通過(guò)反向的制動(dòng)電流,而保持電壓極性不變,實(shí)現(xiàn)回饋制動(dòng)。這樣做,設(shè)備要復(fù)雜多了。 性能比較(續(xù)) (3)動(dòng)態(tài)響應(yīng))動(dòng)態(tài)響應(yīng) 正由于交-直-交電流源型變壓變頻調(diào)速系統(tǒng)的直流電壓可以迅速改變,所以動(dòng)態(tài)響應(yīng)比較快,而電壓源型變壓變頻調(diào)速系統(tǒng)的動(dòng)態(tài)響應(yīng)就慢得多。 (4)輸出波形)輸出波形 電壓源型逆變器輸出的電壓波形為方波,電流源型逆變器輸出的電流波形為方波(見(jiàn)下表)。 性能比較(續(xù))表6-1 兩種逆變器輸出波形比較性能比較(續(xù)) (4)應(yīng)用

33、場(chǎng)合)應(yīng)用場(chǎng)合 電壓源型逆變器屬恒壓源,電壓控制響應(yīng)慢,不易波動(dòng),所以適于做多臺(tái)電機(jī)同步運(yùn)行時(shí)的供電電源,或單臺(tái)電機(jī)調(diào)速但不要求快速起制動(dòng)和快速減速的場(chǎng)合。采用電流源型逆變器的系統(tǒng)則相反,不適用于多電機(jī)傳動(dòng),但可以滿足快速起制動(dòng)和可逆運(yùn)行的要求。*6.3.3 180導(dǎo)通型和導(dǎo)通型和120導(dǎo)通型逆變器導(dǎo)通型逆變器 交-直-交變壓變頻器中的逆變器一般接成三相橋式電路,以便輸出三相交流變頻電源,下圖為6個(gè)電力電子開(kāi)關(guān)器件VT1 VT6 組成的三相逆變器主電路,圖中用開(kāi)關(guān)符號(hào)代表任何一種電力電子開(kāi)關(guān)器件。 三相橋式逆變器主電路結(jié)構(gòu)CdVT1VT3VT5VT4VT6VT2ABCUd 2Ud 2RL圖6-

34、17 三相橋式逆變器主電路控制方式 控制各開(kāi)關(guān)器件輪流導(dǎo)通和關(guān)斷,可使輸出端得到三相交流電壓。在某一瞬間,控制一個(gè)開(kāi)關(guān)器件關(guān)斷,同時(shí)使另一個(gè)器件導(dǎo)通,就實(shí)現(xiàn)了兩個(gè)器件之間的換流。在三相橋式逆變器中,有180導(dǎo)通型和120導(dǎo)通型兩種換流方式。(1)180導(dǎo)通型控制方式 同一橋臂上、下兩管之間互相換流的逆變器稱作180導(dǎo)通型逆變器。n例如,當(dāng)VT1關(guān)斷后,使VT4導(dǎo)通,而當(dāng)VT4關(guān)斷后,又使VT1導(dǎo)通。這時(shí),每個(gè)開(kāi)關(guān)器件在一個(gè)周期內(nèi)導(dǎo)通的區(qū)間是180,其他各相亦均如此。由于每隔60有一個(gè)器件開(kāi)關(guān),在180導(dǎo)通型逆變器中,除換流期間外,每一時(shí)刻總有3個(gè)開(kāi)關(guān)器件同時(shí)導(dǎo)通。 但須注意,必須防止同一橋臂的

35、上、下兩管同時(shí)導(dǎo)通,否則將造成直流電源短路,謂之“直通”。為此,在換流時(shí),必須采取“先斷后通”的方法,即先給應(yīng)關(guān)斷的器件發(fā)出關(guān)斷信號(hào),待其關(guān)斷后留一定的時(shí)間裕量,叫做“死區(qū)時(shí)間”,再給應(yīng)導(dǎo)通的器件發(fā)出開(kāi)通信號(hào)。 死區(qū)時(shí)間的長(zhǎng)短視器件的開(kāi)關(guān)速度而定,器件的開(kāi)關(guān)速度越快時(shí),所留的死區(qū)時(shí)間可以越短。為了安全起見(jiàn),設(shè)置死區(qū)時(shí)間是非常必要的,但它會(huì)造成輸出電壓波形的畸變。p 輸出波形 tOtOtOtOtOtOtOtOa)b)c)d)e)f)g)h)uAOuAOuABiAiduBOuCOuOOUdUd2Ud3Ud62 Ud3電壓型逆變電路的波形 (2)120導(dǎo)通型控制方式 120導(dǎo)通型逆變器的換流是在不同

36、橋臂中同一排左、右兩管之間進(jìn)行的。n例如,VT1關(guān)斷后使VT3導(dǎo)通,VT3關(guān)斷后使VT5導(dǎo)通,VT4關(guān)斷后使VT6導(dǎo)通等等。這時(shí),每個(gè)開(kāi)關(guān)器件一次連續(xù)導(dǎo)通120,在同一時(shí)刻只有兩個(gè)器件導(dǎo)通,如果負(fù)載電機(jī)繞組是Y聯(lián)結(jié),則只有兩相導(dǎo)電,另一相懸空。 p 電流型三相橋式逆變電路的輸出波形 tOtOtOtOIdiViWuUViU返回目錄返回目錄6.4 變壓變頻調(diào)速系統(tǒng)中的脈寬調(diào)制變壓變頻調(diào)速系統(tǒng)中的脈寬調(diào)制 (PWM)技術(shù)技術(shù)本節(jié)提要本節(jié)提要n問(wèn)題的提出問(wèn)題的提出n正弦波脈寬調(diào)制正弦波脈寬調(diào)制(SPWM)技術(shù)技術(shù)n消除指定次數(shù)諧波的消除指定次數(shù)諧波的PWM(SHEPWM)控制技術(shù)控制技術(shù)n電流滯環(huán)跟蹤

37、電流滯環(huán)跟蹤PWM(CHBPWM)控制技術(shù)控制技術(shù)n電壓空間矢量電壓空間矢量PWM(SVPWM)控制技術(shù)(或稱控制技術(shù)(或稱磁鏈跟蹤控制技術(shù))磁鏈跟蹤控制技術(shù)) 問(wèn)題的提出 早期的交-直-交變壓變頻器所輸出的交流波形都是六拍階梯波(對(duì)于電壓型逆變器)或矩形波(對(duì)于電流型逆變器),這是因?yàn)楫?dāng)時(shí)逆變器只能采用半控式的晶閘管,其關(guān)斷的不可控性和較低的開(kāi)關(guān)頻率導(dǎo)致逆變器的輸出波形不可能近似按正弦波變化,從而會(huì)有較大的低次諧波,使電機(jī)輸出轉(zhuǎn)矩存在脈動(dòng)分量,影響其穩(wěn)態(tài)工作性能,在低速運(yùn)行時(shí)更為明顯。 六拍逆變器主電路結(jié)構(gòu)NN+-UVW圖5-9V1V2V3V4V5V6VD1VD2VD3VD4VD5VD6Ud

38、2Ud2VT1VT6主電路開(kāi)關(guān)器件 VD1VD6續(xù)流二極管VT3VT5VT4VT6VT2VT1 六拍逆變器的諧波 為了改善交流電動(dòng)機(jī)變壓變頻調(diào)速系統(tǒng)的性能,在出現(xiàn)了全控式電力電子開(kāi)關(guān)器件之后,科技工作者在20世紀(jì)80年代開(kāi)發(fā)了應(yīng)用PWM技術(shù)的逆變器。 由于它的優(yōu)良技術(shù)性能,當(dāng)今國(guó)內(nèi)外各廠商生產(chǎn)的變壓變頻器都已采用這種技術(shù),只有在全控器件尚未能及的特大容量時(shí)才屬例外。6.4.1 正弦波脈寬調(diào)制正弦波脈寬調(diào)制(SPWM)技術(shù)技術(shù)1. PWM調(diào)制原理調(diào)制原理 以正弦波作為逆變器輸出的期望波形,以頻率比期望波高得多的等腰三角波作為載波(Carrier wave),并用頻率和期望波相同的正弦波作為調(diào)制波

39、(Modulation wave),當(dāng)調(diào)制波與載波相交時(shí),由它們的交點(diǎn)確定逆變器開(kāi)關(guān)器件的通斷時(shí)刻,從而獲得在正弦調(diào)制波的半個(gè)周期內(nèi)呈兩邊窄中間寬的一系列等幅不等寬的矩形波。圖6-18 PWM調(diào)制原理 按照波形面積相等的原則,每一個(gè)矩形波的面積與相應(yīng)位置的正弦波面積相等,因而這個(gè)序列的矩形波與期望的正弦波等效。這種調(diào)制方法稱作正弦波脈寬調(diào)制,這種序列的矩形波稱作SPWM波。 2. SPWM控制方式n如果在正弦調(diào)制波的半個(gè)周期內(nèi),三角載波只在正或負(fù)的一種極性范圍內(nèi)變化,所得到的SPWM波也只處于一個(gè)極性的范圍內(nèi),叫做單極性控制方式。n如果在正弦調(diào)制波半個(gè)周期內(nèi),三角載波在正負(fù)極性之間連續(xù)變化,則

40、SPWM波也是在正負(fù)之間變化,叫做雙極性控制方式。 單相橋式PWM逆變電路 信號(hào)波載波圖6-4調(diào)制電路Ud+V1V2V3V4VD1VD2VD3VD4uoRLuruc單相橋式PWM逆變電路 VT1VT2VT3VT4圖6-5urucuOtOtuouofuoUd- Ud(1)單極性PWM控制方式(2)雙極性PWM控制方式圖6-6urucuOtOtuouofuoUd-Ud3. PWM控制電路n模擬電子電路 采用正弦波發(fā)生器、三角波發(fā)生器和比較器來(lái)實(shí)現(xiàn)上述的SPWM控制;n數(shù)字控制電路n硬件電路;n軟件實(shí)現(xiàn)。 模擬電子電路 數(shù)字控制電路n自然采樣法只是把同樣的方法數(shù)字化, 自然采樣法的運(yùn)算比較復(fù)雜;n規(guī)

41、則采樣法在工程上更實(shí)用的簡(jiǎn)化方法,由于簡(jiǎn)化方法的不同,衍生出多種規(guī)則采樣法。(1)自然采樣法原理(2)規(guī)則采樣法 圖6-12ucuOturTcADBOtuotAtDtB 224. PWM調(diào)制方法n載波比載波比載波頻率 fc與調(diào)制信號(hào)頻率 fr 之比N,既 N = fc / fr 根據(jù)載波和信號(hào)波是否同步及載波比的變化情況,PWM調(diào)制方式分為異步調(diào)制和同步調(diào)制。(1)異步調(diào)制 異步調(diào)制異步調(diào)制載波信號(hào)和調(diào)制信號(hào)不同步的調(diào)制方式。通常保持 fc 固定不變,當(dāng) fr 變化時(shí),載波比 N 是變化的;在信號(hào)波的半周期內(nèi),PWM波的脈沖個(gè)數(shù)不固定,相位也不固定,正負(fù)半周期的脈沖不對(duì)稱,半周期內(nèi)前后1/4周

42、期的脈沖也不對(duì)稱;當(dāng) fr 較低時(shí),N 較大,一周期內(nèi)脈沖數(shù)較多,脈沖不對(duì)稱產(chǎn)生的不利影響都較??;當(dāng) fr 增高時(shí),N 減小,一周期內(nèi)的脈沖數(shù)減少,PWM 脈沖不對(duì)稱的影響就變大。(2)同步調(diào)制 同步調(diào)制同步調(diào)制N 等于常數(shù),并在變頻時(shí)使載波和信號(hào)波保持同步?;就秸{(diào)制方式,fr 變化時(shí)N不變,信號(hào)波一周期內(nèi)輸出脈沖數(shù)固定;三相電路中公用一個(gè)三角波載波,且取 N 為3的整數(shù)倍,使三相輸出對(duì)稱;為使一相的PWM波正負(fù)半周鏡對(duì)稱,N應(yīng)取奇數(shù);fr 很低時(shí),fc 也很低,由調(diào)制帶來(lái)的諧波不易濾除;fr 很高時(shí),fc 會(huì)過(guò)高,使開(kāi)關(guān)器件難以承受。同步調(diào)制三相PWM波形 ucurUurVurWuuUN

43、uVNOtttt000uWN2Ud-2Ud(3)分段同步調(diào)制把 fr 范圍劃分成若干個(gè)頻段,每個(gè)頻段內(nèi)保持N恒定,不同頻段N不同;在 fr 高的頻段采用較低的N,使載波頻率不致過(guò)高;在 fr 低的頻段采用較高的N,使載波頻率不致過(guò)低; 分段同步調(diào)制方式00.40.81.21.62.02.410203040506070802011479969453321圖6-11fr /Hzfc /kHz(4)混合調(diào)制 可在低頻輸出時(shí)采用異步調(diào)制方式,高頻輸出時(shí)切換到同步調(diào)制方式,這樣把兩者的優(yōu)點(diǎn)結(jié)合起來(lái),和分段同步方式效果接近。5. PWM逆變器主電路及輸出波形圖6-19 三相橋式PWM逆變器主電路原理圖調(diào)制

44、電路V1V2V3V4VD1VD2VD3VD4ucV6VD6V5VD5VUWNNC+C+urUurVurW2Ud2UdVT1VT4VT3VT6VT5VT2圖6-20 三相橋式PWM逆變器的雙極性SPWM波形 uuUNO tOOOOUd2-Ud2uVNuWNuUVuUN t t t tO turUurVurWucUd23Ud2 圖6-20為三相PWM波形,其中nurU 、urV 、urW為U,V,W三相的正弦調(diào)制波, uc為雙極性三角載波;nuUN 、uVN 、uWN 為U,V,W三相輸出與電源中性點(diǎn)N之間的相電壓矩形波形;n uUV為輸出線電壓矩形波形,其脈沖幅值為+Ud和- Ud ;nuUN為

45、三相輸出與電機(jī)中點(diǎn)N之間的相電壓。*6.4.3 電流滯環(huán)跟蹤電流滯環(huán)跟蹤PWM(CHBPWM)控制控制 技術(shù)技術(shù) 應(yīng)用PWM控制技術(shù)的變壓變頻器一般都是電壓源型的,它可以按需要方便地控制其輸出電壓,為此前面兩小節(jié)所述的PWM控制技術(shù)都是以輸出電壓近似正弦波為目標(biāo)的。 但是,在電流電機(jī)中,實(shí)際需要保證的應(yīng)該是正弦波電流,因?yàn)樵诮涣麟姍C(jī)繞組中只有通入三相平衡的正弦電流才能使合成的電磁轉(zhuǎn)矩為恒定值,不含脈動(dòng)分量。因此,若能對(duì)電流實(shí)行閉環(huán)控制,以保證其正弦波形,顯然將比電壓開(kāi)環(huán)控制能夠獲得更好的性能。 常用的一種電流閉環(huán)控制方法是電流滯環(huán)跟蹤 PWM(Current Hysteresis Band P

46、WM CHBPWM)控制,具有電流滯環(huán)跟蹤 PWM 控制的 PWM 變壓變頻器的A相控制原理圖示于圖6-22。圖6-22 電流滯環(huán)跟蹤控制的A相原理圖滯環(huán)比較方式的指令電流和輸出電流 圖6-23 電流滯環(huán)跟蹤控制時(shí)的電流波形a) 電流波形電流波形b) 電壓波形電壓波形滯環(huán)比較方式的指令電流和輸出電流 O圖6-23tiii*+ Ii*- Ii*圖6-23 電流滯環(huán)跟蹤控制時(shí)的電流波形三相電流跟蹤型PWM逆變電路 圖6-24 三相電流跟蹤型PWM逆變電路 +-iUi*UV4+-iVi*V+-iWi*WV1V6V3V2V5UdUVWVT1VT4VT6VT2VT3VT5三相電流跟蹤型PWM逆變電路輸出

47、波形 圖6-25Oti*UOtuABiUi小小 結(jié)結(jié)電流滯環(huán)跟蹤控制方法的優(yōu)點(diǎn): 精度高,響應(yīng)快,且易于實(shí)現(xiàn)。缺點(diǎn): 但受功率開(kāi)關(guān)器件允許開(kāi)關(guān)頻率的限制,在其他情況下,器件的允許開(kāi)關(guān)頻率都未得到充分利用。6.5 基于異步電動(dòng)機(jī)穩(wěn)態(tài)模型的變壓基于異步電動(dòng)機(jī)穩(wěn)態(tài)模型的變壓 變頻調(diào)速變頻調(diào)速本節(jié)提要本節(jié)提要n轉(zhuǎn)速開(kāi)環(huán)恒壓頻比控制調(diào)速系統(tǒng)轉(zhuǎn)速開(kāi)環(huán)恒壓頻比控制調(diào)速系統(tǒng)通通用變頻器用變頻器-異步電動(dòng)機(jī)調(diào)速系統(tǒng)異步電動(dòng)機(jī)調(diào)速系統(tǒng)n轉(zhuǎn)速閉環(huán)轉(zhuǎn)差頻率控制的變壓變頻調(diào)速轉(zhuǎn)速閉環(huán)轉(zhuǎn)差頻率控制的變壓變頻調(diào)速系統(tǒng)系統(tǒng) 引 言 交流異步電機(jī)的磁通則由定子與轉(zhuǎn)子電流合成產(chǎn)生,它的空間位置相對(duì)于定子和轉(zhuǎn)子都是運(yùn)動(dòng)的,除此以外

48、,在籠型轉(zhuǎn)子異步電機(jī)中,轉(zhuǎn)子電流還是不可測(cè)和不可控的。 因此,異步電機(jī)的動(dòng)態(tài)數(shù)學(xué)模型要比直流電機(jī)模型復(fù)雜得多。 好在不少機(jī)械負(fù)載,例如風(fēng)機(jī)和水泵,并不需要很高的動(dòng)態(tài)性能, 因此可以只用電機(jī)的穩(wěn)態(tài)模型來(lái)設(shè)計(jì)其控制系統(tǒng)。 為了實(shí)現(xiàn)電壓-頻率協(xié)調(diào)控制,可以采用轉(zhuǎn)速開(kāi)環(huán)恒壓頻比帶低頻電壓補(bǔ)償?shù)目刂品桨福@就是常用的通用變頻器控制系統(tǒng)。 如果要求更高一些的調(diào)速范圍和起制動(dòng)性能,可以采用轉(zhuǎn)速閉環(huán)轉(zhuǎn)差頻率控制的方案。 本節(jié)中將分別介紹這兩類基于穩(wěn)態(tài)數(shù)學(xué)模型的變壓變頻調(diào)速系統(tǒng)。 6.5.1 轉(zhuǎn)速開(kāi)環(huán)恒壓頻比控制調(diào)速系統(tǒng)轉(zhuǎn)速開(kāi)環(huán)恒壓頻比控制調(diào)速系統(tǒng) 通用變頻器通用變頻器-異步電動(dòng)機(jī)調(diào)速系統(tǒng)異步電動(dòng)機(jī)調(diào)速系統(tǒng)n概

49、述概述 現(xiàn)代通用變頻器大都是采用二極管整流和由快速全控開(kāi)關(guān)器件 IGBT 或功率模塊IPM 組成的PWM逆變器,構(gòu)成交-直-交電壓源型變壓變頻器,已經(jīng)占領(lǐng)了全世界0.5500KVA 中、小容量變頻調(diào)速裝置的絕大部分市場(chǎng)。 所謂“通用”,包含著兩方面的含義:(1)可以和通用的籠型異步電機(jī)配套使用;(2)具有多種可供選擇的功能,適用于各種不同性質(zhì)的負(fù)載。n系統(tǒng)介紹系統(tǒng)介紹 圖6-37繪出了一種典型的數(shù)字控制通用數(shù)字控制通用變頻器變頻器-異步電動(dòng)機(jī)調(diào)速系統(tǒng)異步電動(dòng)機(jī)調(diào)速系統(tǒng)原理圖。 1. 系統(tǒng)組成M3電壓檢測(cè)泵升限制電流檢測(cè)溫度檢測(cè)電流檢測(cè)單片機(jī)顯示設(shè)定接口PWM發(fā)生器驅(qū)動(dòng)電路URUIR0R1R2R

50、bVTbKR0R1RbR2 2. 電路分析l主電路主電路由二極管整流器UR、PWM逆變器UI和中間直流電路三部分組成,一般都是電壓源型的,采用大電容C濾波,同時(shí)兼有無(wú)功功率交換的作用。主電路(續(xù))u限流電阻限流電阻:為了避免大電容C在通電瞬間產(chǎn)生過(guò)大的充電電流,在整流器和濾波電容間的直流回路上串入限流電阻(或電抗),通上電源時(shí),先限制充電電流,再延時(shí)用開(kāi)關(guān)K將短路,以免長(zhǎng)期接入時(shí)影響變頻器的正常工作,并產(chǎn)生附加損耗。主電路(續(xù))u泵升限制電路泵升限制電路由于二極管整流器不能為異步電機(jī)的再生制動(dòng)提供反向電流的通路,所以除特殊情況外,通用變頻器一般都用電阻吸收制動(dòng)能量。u 減速制動(dòng)時(shí),異步電機(jī)進(jìn)入

51、發(fā)電狀態(tài),首先通過(guò)逆變器的續(xù)流二極管向電容C充電,當(dāng)中間直流回路的電壓(通稱泵升電壓)升高到一定的限制值時(shí),通過(guò)泵升限制電路使開(kāi)關(guān)器件導(dǎo)通,將電機(jī)釋放的動(dòng)能消耗在制動(dòng)電阻上。圖6-38 三相二極管整流電路的輸入電流波形主電路(續(xù))u進(jìn)線電抗器進(jìn)線電抗器 二極管整流器雖然是全波整流裝置,但由于其輸出端有濾波電容存在,因此輸入電流呈脈沖波形,如圖6-38所示。 這樣的電流波形具有較大的諧波分量,使電源受到污染。 為了抑制諧波電流,對(duì)于容量較大的PWM變頻器,都應(yīng)在輸入端設(shè)有進(jìn)線電抗器,有時(shí)也可以在整流器和電容器之間串接直流電抗器。還可用來(lái)抑制電源電壓不平衡對(duì)變頻器的影響。(一般在電路中設(shè)置三個(gè)電抗

52、器)電路分析(續(xù))l控制電路控制電路現(xiàn)代PWM變頻器的控制電路大都是以微處理器為核心的數(shù)字電路,其功能主要是接受各種設(shè)定信息和指令,再根據(jù)它們的要求形成驅(qū)動(dòng)逆變器工作的PWM信號(hào)。微機(jī)芯片主要采用8位或16位的單片機(jī),或用32位的DSP,現(xiàn)在已有應(yīng)用RISC的產(chǎn)品出現(xiàn)??刂齐娐罚ɡm(xù))uPWM信號(hào)產(chǎn)生信號(hào)產(chǎn)生可以由微機(jī)本身的軟件產(chǎn)生,由PWM端口輸出,也可采用專用的PWM生成電路芯片。u檢測(cè)與保護(hù)電路檢測(cè)與保護(hù)電路各種故障的保護(hù)由電壓、電流、溫度等檢測(cè)信號(hào)經(jīng)信號(hào)處理電路進(jìn)行分壓、光電隔離、濾波、放大等綜合處理,再進(jìn)入A/D轉(zhuǎn)換器,輸入給CPU作為控制算法的依據(jù),或者作為開(kāi)關(guān)電平產(chǎn)生保護(hù)信號(hào)和顯示

53、信號(hào)??刂齐娐罚ɡm(xù))u信號(hào)設(shè)定信號(hào)設(shè)定需要設(shè)定的控制信息主要有:U/f 特性、工作頻率、頻率升高時(shí)間、頻率下降時(shí)間等,還可以有一系列特殊功能的設(shè)定。 u 低頻時(shí),負(fù)載的性質(zhì)和大小不同時(shí),都得靠改變 U / f 函數(shù)發(fā)生器的特性來(lái)補(bǔ)償,使系統(tǒng)達(dá)到恒定,甚至恒定的功能,在通用產(chǎn)品中稱作“電壓補(bǔ)償”或“轉(zhuǎn)矩補(bǔ)償”。補(bǔ)償方法 實(shí)現(xiàn)補(bǔ)償?shù)姆椒ㄓ袃煞N:n一種是在微機(jī)中存儲(chǔ)多條不同斜率和折線段的U / f 函數(shù),由用戶根據(jù)需要選擇最佳特性;n另一種辦法是采用霍耳電流傳感器檢測(cè)定子電流或直流回路電流,按電流大小自動(dòng)補(bǔ)償定子電壓。n但無(wú)論如何都存在過(guò)補(bǔ)償或欠補(bǔ)償?shù)目赡?,這是開(kāi)環(huán)控制系統(tǒng)的不足之處??刂齐娐罚ɡm(xù))

54、u給定積分給定積分由于系統(tǒng)本身沒(méi)有自動(dòng)限制起制動(dòng)電流的作用,因此,頻率設(shè)定信號(hào)必須通過(guò)給定積分算法產(chǎn)生平緩升速或降速信號(hào),升速和降速的積分時(shí)間可以根據(jù)負(fù)載需要由操作人員分別選擇。 綜上所述,PWM變壓變頻器的基本控制作用如圖6-39所示。近年來(lái),許多企業(yè)不斷推出具有更多自動(dòng)控制功能的變頻器,使產(chǎn)品性能更加完善,質(zhì)量不斷提高??刂齐娐罚ɡm(xù))tff *ufu斜坡函數(shù)U / f 曲線脈沖發(fā)生器驅(qū)動(dòng)電路工作頻率設(shè)定升降速時(shí)間設(shè)定電壓補(bǔ)償設(shè)定PWM產(chǎn)生圖6-39 PWM變壓變頻器的基本控制作用 6.5.2 轉(zhuǎn)速閉環(huán)轉(zhuǎn)差頻率控制的變壓變頻轉(zhuǎn)速閉環(huán)轉(zhuǎn)差頻率控制的變壓變頻 調(diào)速系統(tǒng)調(diào)速系統(tǒng)0. 問(wèn)題的提出問(wèn)題的提出 前節(jié)所述的轉(zhuǎn)速開(kāi)環(huán)變頻調(diào)速系統(tǒng)可以滿足平滑調(diào)速的要

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論