基于MATLAB的DPSK通信系統(tǒng)仿真_第1頁
基于MATLAB的DPSK通信系統(tǒng)仿真_第2頁
基于MATLAB的DPSK通信系統(tǒng)仿真_第3頁
基于MATLAB的DPSK通信系統(tǒng)仿真_第4頁
基于MATLAB的DPSK通信系統(tǒng)仿真_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、通信原理課程實驗報告基于MATLAB的DPSK通信系統(tǒng)仿真院系: 班級: 學(xué)號: 姓名: 教師:2012年12月7日目錄1 設(shè)計題目·······································

2、·················32 設(shè)計要求································

3、;························33 設(shè)計原理························

4、3;·······························3 3。1 DPSK調(diào)制················

5、3;···································3 3.2 DPSK解調(diào)············

6、3;·······································44 設(shè)計流程·········

7、83;··············································55 代碼實現(xiàn)··&#

8、183;·················································&#

9、183;···5 5。1 基帶信號的獲取············································&

10、#183;··5 5。2 差分編碼·············································

11、83;·······6 5。3 DPSK調(diào)制········································

12、83;··········7 5。4 AWGN信道·····································

13、83;·············8 5.5 限噪處理···································

14、;··················8 5。6 差分相干解調(diào)······························

15、;···················9 5.4 AWGN信道·····························

16、;·····················11 5.7 功率譜密度圖的繪制6 測試結(jié)果·························&

17、#183;·····························12 6.1 調(diào)制解調(diào)正確性的測試·················&#

18、183;······················12 6。2 系統(tǒng)抗噪性能的測試························

19、3;·················147 總結(jié)·······························

20、3;····························178 心得體會····················&#

21、183;··································17附:完整代碼··············

22、·······································181 設(shè)計題目 用MATLAB仿真DPSK通信系統(tǒng)2 設(shè)計要求 基本參數(shù)如下:1) Fc=1800Hz2) Rb=1200bps3

23、) 考慮加入AWGN信道,Eb/N0=20dB4) 自定義一小段信息序列 結(jié)果要求:1) 繪制各階段信號波形2) 繪制信號的PSD3 設(shè)計原理二進制差分相移鍵控常簡稱為二相相對調(diào)相,記為2DPSK。它不是利用載波相位的絕對數(shù)值傳送數(shù)字信息,而是用前后碼元的相對載波相位值傳送數(shù)字信息。所謂相對載波相位是只本碼元初相與前一碼元初相之差。3。1 DPSK調(diào)制DPSK方式即是利用前后相鄰碼元的相對載波相位值去表示數(shù)字信息的一種方式。例如,假設(shè)相位值用相位偏移表示(定義為本碼元初相與前一碼元初相只差),并設(shè)=數(shù)字信息1=0數(shù)字信息0則數(shù)字信息序列與2DPSK信號的碼元相位關(guān)系可舉例表示如如下:數(shù)字信息:

24、 0 0 1 1 1 0 0 1 0 12DPSK信號相位:0 0 0 0 0 0 或 0 0 0 0 0畫出的2PSK及DPSK信號的波形如圖31所示。圖3-1 2PSK及2DPSK信號的波形2DPSK的產(chǎn)生基本類似于2PSK,只是調(diào)制信號需要經(jīng)過碼型變換,將絕對碼變?yōu)橄鄬Υa。2DPSK產(chǎn)生的原理框圖如圖22所示1,圖(a)為模擬調(diào)制法,圖(b)為鍵控法. (a) 模擬調(diào)制法 (b) 鍵控法圖3-2 2DPSK信號的調(diào)制原理圖從上面分析可見,無論接收信號是2DPSK還是2PSK信號,單從接收端看是區(qū)分不開的.因此2DPSK信號的功率譜密度和2PSK信號的功率譜密度是完全一樣的.3。2 DPS

25、K解調(diào) DPSK信號可以采用相干解調(diào)法(極性比較法)和差分相干解調(diào)法(相位比較法).本次設(shè)計采用差分相干解調(diào)法,圖3-3為差分相干解調(diào)法原理圖。差分相干解調(diào)法的思想是直接比較前后碼元的相位差.由于此時的解調(diào)已同時完成了碼變換作業(yè),故無需另加碼變換器。由于這種解調(diào)方法又無需專門的相干載波,故事一種比較實用的方法。圖33 2DPSK差分相干解調(diào)解調(diào)原理圖4 設(shè)計流程 設(shè)計流程如下框圖所示,箭頭上的信號表示實際傳遞的信號名稱。bndn modmodz 差分編碼 DPSK調(diào)制 AWGN信道 BPF對比rBn mt demod 抽樣判決 LPF 延時相乘 差分相干解調(diào)5 代碼實現(xiàn)5。1 基帶信號的獲取

26、利用MATLAB自帶函數(shù)randint 產(chǎn)生定長度的二進制隨機序列sign,為了將sign轉(zhuǎn)換為單極性NRZ信號,要對sign的每個隨機碼進行T點增樣,最后得到基帶數(shù)字信號bn代碼實現(xiàn)如下:% 初始參量定義 %fc=1800; 載波頻率Rb=1200; 基帶數(shù)據(jù)傳輸速率Tb=1/Rb;T=50; 每個Tb內(nèi)進行的增樣點數(shù)M=8; %原始碼字序列的長度N=9; 差分碼字序列的長度sign=randint(1,M); %產(chǎn)生長度為M的隨機二進制序列SNR=20; 信噪比% 對sign進行T點增樣后的基帶數(shù)字信號 %bn=; for n=1:Mif sign(n)=0; F=zeros(1,T);e

27、lse F=ones(1,T);end bn=bn,F;end繪圖5.2 差分編碼 設(shè)差分碼cfm(二進制序列),差分編碼原理為cfmn = bn xor cfmn1 例如,如果 sign= 1 0 0 1 0 0 1 1 ,那么cfm=1 0 0 0 1 1 1 0 1 ; 同時,還要對得到的差分碼cfm進行T點增樣,得到差分信號dn(單極性NRZ信號)。代碼實現(xiàn)如下:% 差分編碼得到差分碼cfm %cfm=;cfm(1)=1; 設(shè)cfm的初值為1for i=1:M cfm(i+1)=xor(sign(i),cfm(i));end % 對cfm進行T點增樣 %dn=; 差分信號for n=1

28、:N;if cfm(n)=0; A=zeros(1,T); 每個symbol進行T點增樣 else A=ones(1,T); end dn=dn A; end繪圖5.3 DPSK調(diào)制 DPSK調(diào)制由于PSK調(diào)制的主要原因是DPSK調(diào)制解決了“不確定性反相"問題,即DPSK信號通過相鄰時隙載波相位的變化與否來“攜帶”信息。 在代碼實現(xiàn)這一步的過程中,我假設(shè)了一個相鄰時隙相位差變量delta,易知,當(dāng)sign的一個碼字為1時,delta=pi,否則delta=0。通過delta就能反映前后時隙的載波相位變化,進而實現(xiàn)DPSK調(diào)制。代碼實現(xiàn)如下:% DPSK調(diào)制 %t=Tb/T:Tb/T:

29、Tb; %對Tb=1/Rb間隔進行T點劃分mod=cos(2*fc*pi*t); %調(diào)制后的信號delta=0; 相位差for n=1:Mif sign(n)=1; delta=delta+pi; else delta=delta; end c2=cos(2fcpit+delta); mod=mod c2; end繪圖5。4 AWGN信道 利用MATLAB自帶函數(shù)awgn實現(xiàn)對已調(diào)信號mod進行加噪處理。代碼實現(xiàn)如下:% 調(diào)制信號過高斯白噪聲的信道 %modz=awgn(mod,SNR); %過AWGN信道繪圖5。5 限噪處理 利用MATLAB自帶函數(shù)fir1構(gòu)造FIR I型 帶通濾波器,使其

30、對準(zhǔn)信號頻帶,讓信號幾乎無失真通過,同時抑制帶外噪聲,此處取BPF的通帶寬度為2*Rb,中心頻率為fc.(由于設(shè)計的是數(shù)字濾波器,所以不要忘記對頻率進行歸一化處理) 值得注意的是,由于設(shè)計的濾波器是100階,所以通過BPF后的信號會有出現(xiàn)接近于一個symbol寬度的時延。所以在信號modz接入BPF前,要對其進行一個拓寬處理,對modz最后一個symbol進行復(fù)制,得到modz_broad,再接入到BPF上。 同時,不要忘記對從BPF出來的信號r0進行截取,因為r0的第一個symbol是濾波器時延帶來的。代碼實現(xiàn)如下:% BPF %modz_broad=modz modz((N1)*T+1:N

31、*T);fs=Rb*T;hBPF=fir1(100,2pifc-Rb fc+Rb/fs);r0=filter(hBPF,1,modz_broad);r=r0(T+1:(N+1)T); %對r0進行截取繪圖5。6 差分相干解調(diào) 差分相干解調(diào)的核心思想是比較兩個相鄰時隙上信號的相位,從而直接還原出信號Bn,分為三步:(1) 延時相乘代碼實現(xiàn)如下:% 延時相乘 %r_shift=r(T+1:NT);demod=;for i=1:MT; a=r_shift(i) 。* r(i); demod=demod a;end繪圖(2) LPF利用MATLAB自帶函數(shù)fir1構(gòu)造FIR I型 低通濾波器,此處取L

32、PF的通帶寬度為Rb。 同BPF一樣,由于設(shè)計的濾波器是100階,所以通過LPF后的信號會有出現(xiàn)接近于一個symbol寬度的時延。所以要對信號demod接入LPF前,進行拓寬處理,得到demod_broad,再接入到LPF上。 同樣,不要忘記對從LPF出來的信號mt0進行截取,因為mt0的第一個symbol是濾波器時延帶來的.代碼實現(xiàn)如下:% LPF %demod_broad=demod demod(M1)T+1:MT)hLPF=fir1(100,2pi*Rb/fs);mt0=filter(hLPF,1,demod_broad);mt=mt0(T+1:(M+1)*T); 對mt0進行截取繪圖(

33、3) 抽樣判決 實現(xiàn)思想:對mt一個symbol的T個采樣點進行求和,如果sum大于0,則Bn對應(yīng)這個symbol內(nèi)的所有點的值為1,反之為0。代碼實現(xiàn)如下:% 抽樣判決 %Bn=zeros(1,M*T); for i=1:M;if sum( mt( (i1)*T+1 :iT ) ) 0; Bn(i1)*T+1:iT)=0; else Bn((i1)*T+1:iT)=1; endend繪圖從上圖可知,最終經(jīng)DPSK通信系統(tǒng)調(diào)制解調(diào)后得到的信號Bn與一開始的基帶數(shù)字信號bn完全一致。5。7 功率譜密度圖的繪制 采用Welch法繪制DPSK調(diào)制信號mod的功率譜密度圖(Power spectrum

34、 magnitude)。選擇適當(dāng)?shù)拇昂瘮?shù)w(n),并再周期圖計算前直接加進去,加窗的優(yōu)點是無論什么樣的窗函數(shù)均可使譜估計非負(fù)。二是在分段時,可使各段之間有重疊,這樣會使方差減小。本設(shè)計中嘗試了三種窗,分別是矩形窗、海明窗和Blacman窗。代碼實現(xiàn)如下:% PSD %window=boxcar(100); 矩形窗 window1=hamming(100); 海明窗 window2=blackman(100); %Blackman窗 Nfft=NTRb;noverlap=0; 數(shù)據(jù)無重疊 range=onesided; %計算單邊帶功率譜密度Pxx,f=pwelch(mod,window,nov

35、erlap,Nfft,fs,range); Pxx1,f=pwelch(mod,window1,noverlap,Nfft,fs,range); Pxx2,f=pwelch(mod,window2,noverlap,Nfft,fs,range); figure(3)subplot(311)plot(f,Pxx);axis(0 5000 0 0.0008);title(rectangular window PSD);xlabel('f/Hz);subplot(312)plot(f,Pxx1);axis(0 5000 0 0.0006);title(Hamming PSD);xlabel(

36、f/Hz);subplot(313)plot(f,Pxx2);axis(0 5000 0 0。0006);title(Blackman PSD');xlabel('f/Hz);繪圖 從第一幅圖即rectangular PSD中可以看出,單邊帶功率譜密度圖的中心頻率大致在1800Hz即載波頻率處,第一零點大致在600Hz和3000Hz,即fcRb和fc+Rb處。6 測試結(jié)果6.1 調(diào)制解調(diào)正確性的測試 在前面我取用了一個特例sign=1 0 0 1 0 0 1 1來說明每一步的正確性.下面利用randint 產(chǎn)生定長度的二進制隨機序列sign,多次運行結(jié)果都顯示最終差分相干解調(diào)得

37、出的信號Bn與基帶數(shù)字信號bn一致,說明此次基于MATLAB設(shè)計的DPSK通信系統(tǒng)能夠成功實現(xiàn)DPSK信號的調(diào)制與解調(diào)。某幾次運行結(jié)果的截圖如下:6。2 系統(tǒng)抗噪性能的測試 在前面調(diào)制解調(diào)正確性的測試中,設(shè)定信噪比SNR=20。下面通過檢驗在不同信噪比值下,系統(tǒng)調(diào)制解調(diào)的正確性,來簡單評定系統(tǒng)的抗噪性能. SNR=10時,正確解調(diào) SNR=5時,正確解調(diào) SNR=2時,正確解調(diào) SNR=1。5時,有誤碼出現(xiàn) 所以,可以定性判斷該系統(tǒng)的抗噪性能良好.7 總結(jié)經(jīng)過兩周的MATLAB課程設(shè)計,我收獲多多??偨Y(jié)后主要有一下幾點可談1) 良好編程習(xí)慣的培養(yǎng) 我們編程時要有良好的風(fēng)格,源代碼的邏輯簡明清晰

38、,易讀易懂是好程序的重要標(biāo)準(zhǔn)。我的幾點小收獲是:標(biāo)識符命名盡量含義鮮明,適當(dāng)?shù)淖⒔?,注意程序的視覺組織。2) 信息篩查能力的訓(xùn)練 拿到一個課程設(shè)計題目后,應(yīng)該從何下手,如何獲取有效資源、篩查信息,是對個人能力的一種考驗.經(jīng)過這次試驗后,我認(rèn)為首先需要把理論知識弄透徹(尤其是課本上的),再閱讀別人的設(shè)計報告,重復(fù)別人所做的工作是一種不錯學(xué)習(xí)方法,但是需要懂得去粗取精,提取有效信息,否則會浪費大量時間.3) 調(diào)試思想的建立 這次試驗中我被很多問題難住,卻常常不知如何下手找出錯誤,經(jīng)老師指點后才懂得要按一定方法進行調(diào)試糾錯,結(jié)果這些錯誤常常是一些拼寫錯誤或符號不對稱等小錯。明確整體的架構(gòu),有針對地進

39、行測試。調(diào)試方法多種多樣,但調(diào)試思想的建立卻是最為基礎(chǔ)、根本。8 心得體會 課程實驗是培養(yǎng)學(xué)生綜合運用所學(xué)知識,發(fā)現(xiàn),提出,分析和解決實際問題,鍛煉實踐能力的重要環(huán)節(jié),是對學(xué)生實際工作能力的具體訓(xùn)練和考察過程。在這兩周的時間里我切切實實的學(xué)到了許多知識,尤其是提高了在MATLAB中的編程能力.回顧起此次通信原理課程實驗,至今我仍感慨頗多,在整整兩周的時間里,我的心情和課程設(shè)計的進展起起伏伏,一度懷疑自己的能力開始否定自己,直到最后把每處都弄通了、達到完美了,心情才真正舒暢開來。 但是,我確實學(xué)到很多很多的的東西,同時鞏固了所學(xué)知識.整個設(shè)計的過程,可以說得是困難重重,這畢竟算是自己第一次獨立完

40、成,在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對軟件不熟悉,動手能力比較欠缺.設(shè)計的過程很糾結(jié),調(diào)試的過程也很痛苦,但是知識就是在這個過程中學(xué)習(xí)的,經(jīng)驗也是在這個過程中積累的。經(jīng)歷過困難才會有更多的收獲.掌握正確的方法,保持認(rèn)真的作風(fēng)和平和的心態(tài),這樣才能真正的學(xué)到知識。 這次課程實驗終于完成了,在設(shè)計中遇到了很多問題,多謝李曉峰老師的耐心講解,從老師的身上我學(xué)到了很多實用的知識,也由衷地慶幸自己能遇到這樣一位好老師,不僅專業(yè)知識扎實,而且品格高尚、謙和有禮,這就是我理想中的好老師.再次感謝李老師。同時,對給予我?guī)椭耐瑢W(xué)們也表示忠心的感謝。附:完整代碼% DPSK調(diào)制解調(diào) % 初始參量定義 %fc

41、=1800; %載波頻率Rb=1200; 基帶數(shù)據(jù)傳輸速率Tb=1/Rb;T=50; 每個Tb內(nèi)進行的增樣點數(shù)M=8; %原始碼字序列的長度N=9; 差分碼字序列的長度sign=zeros(1,M);sign=randint(1,N); 產(chǎn)生長度為M的隨機二進制序列SNR=1。5; 信噪比% 對sign進行T點增樣后的基帶數(shù)字信號 %bn=; for n=1:Mif sign(n)=0; F=zeros(1,T);else F=ones(1,T);end bn=bn,F(xiàn);end% 差分編碼得到差分碼cfm %cfm=;cfm(1)=1; 設(shè)cfm的初值為1for i=1:M cfm(i+1)=

42、xor(sign(i),cfm(i));end% 對cfm進行T點增樣 %dn=; 差分信號for n=1:N;if cfm(n)=0; A=zeros(1,T); 每個symbol進行T點增樣 else A=ones(1,T); end dn=dn A; end% DPSK調(diào)制 %t=Tb/T:Tb/T:Tb; 對Tb=1/Rb間隔進行T點劃分mod=cos(2fcpi*t); 調(diào)制后的信號delta=0; 相位差for n=1:Mif sign(n)=1; delta=delta+pi; else delta=delta; end c2=cos(2fc*pit+delta); mod=mo

43、d c2; end% 調(diào)制信號過高斯白噪聲的信道 %modz=awgn(mod,SNR); 過AWGN信道% BPF %modz_broad=modz modz(N1)T+1:N*T);fs=Rb*T;hBPF=fir1(100,2*pi*fc-Rb fc+Rb/fs);r0=filter(hBPF,1,modz_broad);r=r0(T+1:(N+1)*T); %對r0進行截取 延時相乘 %r_shift=r(T+1:N*T);demod=;for i=1:M*T; a=r_shift(i) . r(i); demod=demod a;end% LPF %demod_broad=demod

44、 demod(M1)T+1:MT)hLPF=fir1(100,2*piRb/fs);mt0=filter(hLPF,1,demod_broad);mt=mt0(T+1:(M+1)T); %對mt0進行截取% 抽樣判決 %Bn=zeros(1,M*T); for i=1:M;if sum( mt( (i1)T+1 :iT ) ) 0; Bn(i1)T+1:iT)=0; else Bn((i-1)*T+1:i*T)=1; endend% 畫圖 % figure(1) %figure(1);subplot(3,3,1);plot(bn);grid on;axis(0 TN 2 2);title(基帶數(shù)字信號bn);figure(1);subplot(3,3,2);plot(dn);grid on;axis(0 TN -2 2);title(差分信號dn');figure(1);subplot(3,3,3);plot(mod);grid on;axis(0 T*N -2 2);title('DPSK調(diào)制信號mod);figure(1);subplot(3,3,4);plot(modz);grid onaxis(0 TN 2 2);title('過AWGN信道后的modz信號');figure(1);subplot(

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論