數(shù)字鐘設計本科畢業(yè)設計論文報告_第1頁
數(shù)字鐘設計本科畢業(yè)設計論文報告_第2頁
數(shù)字鐘設計本科畢業(yè)設計論文報告_第3頁
數(shù)字鐘設計本科畢業(yè)設計論文報告_第4頁
數(shù)字鐘設計本科畢業(yè)設計論文報告_第5頁
已閱讀5頁,還剩28頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、畢業(yè)設計(論文)原創(chuàng)性聲明和使用授權說明原創(chuàng)性聲明本人鄭重承諾:所呈交的畢業(yè)設計(論文),是我個人在指導教 師的指導下進行的研究工作及取得的成果。盡我所知,除文中特別加 以標注和致謝的地方外,不包含其他人或組織已經(jīng)發(fā)表或公布過的研究成果,也不包含我為獲得及其它教育機構的學位或?qū)W歷而使用過的材料。對本研究提供過幫助和做出過貢獻的個人或集體, 均已在文中作了明確的說明并表示了謝意。作者簽名:日期:指導教師簽名:日期:使用授權說明本人完全了解大學關于收集、保存、使用畢業(yè)設計(論文)的規(guī)定,即,按照學校要求提交畢業(yè)設計(論文)的印刷本和電 子版木;學校有權保存畢業(yè)設計(論文)的印刷本和電子版,并提供

2、目錄檢索與閱覽服務;學??梢圆捎糜坝?、縮印、數(shù)字化或其它復制 手段保存論文;在不以贏利為目的前提下,學??梢怨颊撐牡牟糠?或全部內(nèi)容。作者簽名: 曰 期:學位論文原創(chuàng)性聲明本人鄭重聲明:所呈交的論文是本人在導師的指導下獨立進行研 究所取得的研究成果。除了文中特別加以標注引用的內(nèi)容外,木論文 不包含任何其他個人或集體已經(jīng)發(fā)表或撰寫的成果作品。對本文的研 究做出重要貢獻的個人和集體,均已在文中以明確方式標明。本人完 全意識到本聲明的法律后果由本人承擔。作者簽名:日期: 年 月 日學位論文版權使用授權書本學位論文作者完全了解學校有關保留、使用學位論文的規(guī)定, 同意學校保留并向國家有關部門或機構送交

3、論文的復印件和電子版,允許論文被查閱和借閱。本人授權大學可以將本學位論文的全部或部分內(nèi)容編入有關數(shù)據(jù)庫進行檢索,可以采用影印、縮印或掃描等復制手段保存和匯編本學位論文。涉密論文按學校規(guī)定處理。作者簽名:日期:年月曰導師簽名:u期:年nu注意事項1. 設計(論文)的內(nèi)容拈:1)封而(按教務處制定的標準封而格式制作)2)原創(chuàng)性聲明3)中文摘要(300字左農(nóng))、關鍵詞4)外文摘要、關鍵詞5)目次頁(附件不統(tǒng)一編入)6)論文主體部分:引言(或緒論)、正文、結(jié)論7)參考文獻8)致謝9)附錄(對論文支持必要時)2. 論文字數(shù)要求:理工類設計(論文)正文字數(shù)不少于1萬字(不鉍拈圖紙、 程序清單等),文科類論

4、文正文字數(shù)不少于1. 2萬字。3. 附件包括:任務書、開題報告、外文譯文、譯文原文(復印件)。4. 文字、圖表要求:1)文字通順,語言流暢,書寫字跡工整,打印字體及大小符合要求,無錯 別字,不準請他人代寫2)工程設計類題目的閣紙,要求部分用尺規(guī)繪制,部分用計算機繪制,所 宥圖紙應符合國家技術標準規(guī)范。圖表整潔,布局合理,文字注釋必須使用工程 字書寫,不準用徒手畫3)畢業(yè)論文須用a4半面打印,論文50頁以上的雙面打印4)圖表應繪制于無格子的頁面上5)軟件工程類課題應冇程序清單,并提供電子文檔5. 裝訂順序1)設計(論文)2)附件:按照任務書、開題報告、外文譯文、譯文原文(復印件)次序裝指導教師評

5、閱書指導教師評價:一、撰寫(設計)過程1、學生在論文(設計)過程中的治學態(tài)度、工作精神 優(yōu) 良 中 及格 口不及格2、學生掌握專業(yè)知識、技能的扎實程度優(yōu)良中及格口不及格3、學生綜合運用所學知識和專業(yè)技能分析和解決問題的能力優(yōu)良中及格口不及格4、研究方法的科學性;技術線路的可行性;設計方案的合理優(yōu)良中及格口不及格5、完成畢業(yè)論文(設計)期間的出勤情況優(yōu)良中及格口不及格二、論文(設計)質(zhì)量1、論文(設計)的整體結(jié)構是否符合撰寫規(guī)范?優(yōu)良中及格口不及格2、是否完成指定的論文(設計)任務(包括裝訂及附件)? 優(yōu) 良 中 及格 口不及格三、論文(設計)水平1、論文(設計)的理論意義或?qū)鉀Q實際問題的指導

6、意義 優(yōu) 良 中 及格 口不及格2、論文的觀念是否有新意?設計是否有創(chuàng)意?優(yōu)良中及格口不及格3、論文(設計說明書)所體現(xiàn)的整體水平優(yōu)良中及格口不及格建議成績:優(yōu)良 中 及格 口不及格(在所選等級前的口閃畫“ v”)指導教師:(蓋(簽名)單位: 章)年 月 日評閱教師評閱書評閱教師評價:一、論文(設計)質(zhì)量1、論文(設計)的整體結(jié)構是否符合撰寫規(guī)范?優(yōu) r中及格口不及格2、是否完成指定的論文(設計)任務(包括裝訂及附件)? 優(yōu) 良 中 及格 口不及格二、論文(設計)水平1、論文(設計)的理論意義或?qū)鉀Q實際問題的指導意義 優(yōu) r 中 及格 口不及格2、論文的觀念是否有新意?設計是否有創(chuàng)意?優(yōu)良中

7、及格口不及格3、論文(設計說明書)所體現(xiàn)的整體水平優(yōu)良中及格口不及格建議成績:優(yōu)良 中 及格 口不及格(在所選等級前的內(nèi)畫“v”)評閱教師:(簽名)單位:(蓋章)年 月 日教研室(或答辯小組)及教學系意見教研室(或答辯小組)評價:一、答辯過程1、畢業(yè)論文(設計)的基木要點和見解的敘述情況 優(yōu) 良 屮 及格 口不及格2、對芥辯問題的反應、理解、表達情況優(yōu)良屮及格口不及格3、學生芥辯過程中的精神狀態(tài)優(yōu)良屮及格口不及格二、論文(設計)質(zhì)量1、論文(設計)的整體結(jié)構是否符合撰寫規(guī)范?優(yōu)良中及格口不及格2、是否完成指定的論文(設計)任務(包括裝訂及附件)? 優(yōu) 良 中 及格 口不及格三、論文(設計)水平

8、1、論文(設計)的理論意義或?qū)鉀Q實際問題的指導意義 優(yōu) 良 屮 及格 口不及格2、論文的觀念是否省新意?設計是否省創(chuàng)意?優(yōu)良屮及格口不及格3、論文(設計說明書)所體現(xiàn)的整體水平優(yōu)良屮及格口不及格評定成績:優(yōu)良 中 及格 口不及格教研室主任(或答辯小組組長):(簽名)年 月 日教學系意見:系主任:(簽名)年 月 曰本科畢業(yè)設計論文報告選題數(shù)字鐘設計(digital clock design)院系電子信息系專業(yè)微電子學學生姓名指導教師本選題的意義及闢內(nèi)外發(fā)展狀況:數(shù)字鐘是將吋間以我們熟知的阿拉伯數(shù)字具象fi示出來,通常是顯示吋、分、 秒。在如今社會被廣泛應用于家庭、學校、辦公室等各種場所,已經(jīng)與

9、人們生活分不開。為人們的生活方而、學習方而和娛樂方而都提供了極大的便利。在這被廣泛應用的數(shù)字鐘,數(shù)字集成電路技術中的石英技術發(fā)展尤為重耍,它使時間走得更準 確、更穩(wěn)定和更為的小巧便攜。運用數(shù)字鐘還能有計吋、報吋、自動控制等作用,在不同的機器中加入數(shù)字鐘,使機器更智能化。重新設計電路使其其備更完善的功 能,電路的重新設計是為了進一步了解并加深對電子吋鐘的原理。就目前而言,在同內(nèi)外的研究和市場上的產(chǎn)品已經(jīng)較為成熟,一般核心晶體振 蕩器采用32768hz的,芯片大多采用cd4511、cd4518,數(shù)字顯示多精確到秒。所以將會基于這些基礎再實現(xiàn)完整電路的重新設計。研究內(nèi)容:通過數(shù)字集成電路和簡易的邏輯

10、門電路結(jié)合,利用編程語言設計一款能夠顯示 時問并且有按鍵可以進行修改和調(diào)整的數(shù)字電子時鐘。1、探討通過邏輯門芯片和電路組成的電子時鐘的可靠性。2、設計集成電路。(包括邏輯門電路和芯片的搭配方法,液晶顯像管及按鍵等元器件。)3、研究譯碼集成電路算法以及相關的原理。4、檢驗集成電路的使用良好性。研究方法、手段及步驟:主要采用在閣書館查找書籍雜志以及在網(wǎng)上查閱資料,并通過制作試驗品進行 調(diào)整。1、查找相關電路資料,選擇合適芯片。2、研究相關的邏輯門電路和電路的布置。3、基于以上芯片和電路原理,1叫出電路閣。4、運用試驗品對其進行實際操作和調(diào)整。5、撰寫數(shù)字時鐘設計的論文以及提出新的研究方向。技術參數(shù)

11、:1. 具冇時,分,秒,計數(shù)顯示功能,以24小時循環(huán)計時。2. 具有清零,調(diào)節(jié)小吋、分鐘功能。3. 具冇整點報時功能,整點報時的同時led燈花樣顯示。設計要求:1. 掌握多位計數(shù)器相連的設計方法。2. 掌握十進制、六進制、二十四進制計數(shù)器的設計方法。3. 鞏固多位共陰極掃描顯示數(shù)碼管的驅(qū)動及編碼。4. 掌握揚聲器的驅(qū)動。5. led燈的花樣顯示。6. 掌握cpld技術的層次化設計方法。參考文獻:1 康華光.電子技術基礎(數(shù)字部分)m.高等教育出版社,2008.01.2 趙志杰.集成電路應用識圖方法m.機械工業(yè)出版社,2003.3 閻石.數(shù)字電子技術基礎m.高等教育出版社,1997.4 謝自美.

12、電子線路設計、實驗、測試m.華中理工大學出版社,2003.5 呂思忠.數(shù)字電路實驗與課程設計m.哈爾濱工業(yè)大學出版社,2001.6 康華光.電子技術基礎(模擬部分)m.高等教育出版社,2006.01.7 張慶雙.電子元器件的選用與檢測機m.械工業(yè)出版社,2003.8 蓋吉斯基.數(shù)字設計原理m.清華大學出版社,2005. 05.01數(shù)字鐘設計內(nèi) 容 摘 要數(shù)字鐘是將時間以阿拉伯數(shù)字再象顯示出來,通常是顯示時、分、秒。在如 今社會被廣泛應用于家庭、學校、辦公室等各種場所,已經(jīng)與人們生活分不開。 為人們的生活方面、學習方面和娛樂方面都提供了極大的便利。在這被廣泛應用 的數(shù)字鐘,數(shù)字集成電路技術中的石

13、英技術發(fā)展尤為重要,它使時問走得更準確、 更穩(wěn)定和更為的小巧便攜。運用數(shù)字鐘還能冇計時、報時、自動控制等作用,在 不同的機器中加入數(shù)字鐘,使機器更智能化。重新設計電路使其其備更完善的功 能,電路的蜇新設計是為了進一步了解并加深對電子吋鐘的原理。eda電子設計自動化,就是以大規(guī)??删幊唐骷樵O計載體,以硬件描述 語言為系統(tǒng)邏輯描述的主要表達方式,通過相關的軟件自動完成用軟件方式設計 的電子系統(tǒng)到硬件系統(tǒng),最終形成集電子系統(tǒng)或?qū)S眯酒@胵uartusii為設 計軟件、vhdl為硬件語言,結(jié)合所學的數(shù)字電路的知識設計一個24小時的多 功能吋鐘,具冇正常時、分、秒計吋。所設計的智能數(shù)字鐘具冇數(shù)顯吋

14、間、校時、 設定吋間、聲音提醒、清零、和動態(tài)顯示等功能。利用硬件描述語言vhdl對 設計系統(tǒng)的各個子模塊進行邏輯描述,最后打包生成對應的元器件,并進行最后 的原理閣連接。就目前而言,在鬧內(nèi)外的研究和市場上的產(chǎn)品已經(jīng)較為成熟,一般核心晶體 振蕩器采用32768hz的,芯片大多采用cd4511、cd4518,數(shù)字顯示多精確到 秒。所以將會基于這些基礎再實現(xiàn)完整電路的重新設計。關鍵詞:數(shù)字鐘;eda;計時;digital clock designsummarydigital clock is to display time use arabic numerals,usually display ho

15、urs、minutes and seconds.in today society,it is widely applied to families、schools、offices and other places.didital clock and people life has been closely linked,as people in life、 learning and entertainment have provided great convenience.digital clock is widely used,the most important is the digita

16、l integrated circuit technology quartz technology.lt can make the time go more accurate,more stable,more compact and portable.using the digital clock can have timing,timer,automatic control function.add the digital clock in different machines can make the machine more intelligent.redesign the circui

17、t to make it have more perfect function.the new circuit design can help you further understand the principle of the electronic clock.the use of hardware language vhdl to design each sub module system logical description.eda electric design automation's based on the large-scale programmable devic

18、es as design carrier,the hardware description language as the main expression way of the system logical description.automatic completion of design by software and electric system to the hardware system through related software,and ultimately the formation of integrated electric system or a dedicated

19、 chip.using quartus ii .combined with the digital circuit knowledge to design a 24 hours multifunctional electric clock.electronic timing clock with normal function,such as record the hours、 minutes、and seconds.this clock has such functions as displaying with led,checking the time,setting the clock

20、time,alarming with the sound,clearing,and dynamic display,etc.finally.packing and generates a corresponding components,and connecting schematic diagram at last.at present,research and market at home and abroad on the products are more mature.the general core crystal oscillators with 32768hz.the chip

21、 cd4511、cd4518 is used mostly .digital display more accurate to seconds,so will be based on these basic again achieve a complete redesign circuits.keywords:digital clock;eda;timing;1、概述152、3、方案設計與論證2. 1方案及步驟2.2組成內(nèi)容單元電路設計與參數(shù)計算3.1系統(tǒng)頂層圖設計3.2實驗連線 3. 3時模塊設計:3.4分模塊設計3.5秒模塊3.6報警模塊1617183.7譯碼器模塊4、3.8時間數(shù)據(jù)掃描分

22、時選擇模塊文本. 總原理圖及元器件清單5、4. 1總原理圖 4.2元件清單 安裝與調(diào)試.6、性能測試與分析6. 1 頂層 c i ock_top. bdf 6.2時模塊仿真6.3分模塊仿真18錯誤!未定義書簽。 錯誤!未定義書簽。 錯誤!未定義書簽。 錯誤!未定義書簽。 錯誤!未定義書簽。19191919202020206. 4秒模塊仿真216. 5報警仿真216. 7時間數(shù)據(jù)掃描分時選擇模塊文本仿真227、結(jié)論228、心得體會239、參考文獻錯誤!未定義書簽。1、概述數(shù)字鐘實際上是一個對標準頻率(1hz)進行計數(shù)的計數(shù)電路。由于計數(shù)的 起始時間不可能與標準時間(如北京時間)一致,故需要在電路

23、上加一個校時電 路,同時標準的1hz時間信號必須做到準確穩(wěn)定。通常使用石英品體振蕩器電 路構成數(shù)字鐘。其組成成分主要冇以下幾種:晶體振蕩器電路:晶體振蕩器電路給數(shù)字鐘提供一個頻率穩(wěn)定準確的 32768hz的方波信號,可保證數(shù)字鐘的走時準確及穩(wěn)定。不管是指針式的電子鐘 還是數(shù)字顯示的電子鐘都使用了晶體振蕩器電路。分頻器電路:分頻器電路將32768hz的高頻方波信號經(jīng)32768次分頻 后得到1hz的方波信號供秒計數(shù)器進行計數(shù)。分頻器實際上也就是計數(shù)器。(3)吋間計數(shù)器電路:吋間計數(shù)電路由秒個位和秒十位計數(shù)器、分個位和分 十位計數(shù)器及時個位和時十位計數(shù)器電路構成,其中秒個位和秒十位計數(shù)器、分 個位和

24、分十位計數(shù)器為60進制計數(shù)器,而根據(jù)設計要求,時個位和時十位計數(shù) 器為12進制計數(shù)器。譯碼驅(qū)動電路:譯碼驅(qū)動電路將計數(shù)器輸出的8421 bcd碼轉(zhuǎn)換為數(shù)碼管 需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。數(shù)碼管:數(shù)碼管通常有發(fā)光二極管(led)數(shù)碼管和液晶(lcd)數(shù)碼管, 本設計提供的為led數(shù)碼管。數(shù)字鐘的工作原理1)晶體管振蕩電路晶體振蕩器是構成數(shù)字式時鐘的 核心,它保證了吋鐘的走吋準確及穩(wěn)定。電路通過cmos非門構成的輸出為方 波的數(shù)字式晶體振蕩電路,這個電路屮,cmos非門u1與晶體、電容和電阻構 成晶體振蕩器電路,u2實現(xiàn)整形功能,將振蕩器輸出的近似于正弦波的波形轉(zhuǎn)

25、換為較理想的方波。輸出反饋電阻r 1為非門提供偏置,使電路工作于放大區(qū)域, 即非門的功能近似于一個高增益的反相放大器。電容cl、c2與晶體構成一個諧 振型網(wǎng)絡,完成對振蕩頻率的控制功能,向時提供了一個180度相移,從而和非 門構成一個正反饋網(wǎng)絡,實現(xiàn)y振蕩器的功能。由于晶體具有較高的頻率穩(wěn)定性 及準確性,從而保證了輸出頻率的穩(wěn)定和準確。晶體xtal的頻率選為32768hz。 該元件專為數(shù)字鐘電路血設計,其頻率較低,冇利于減少分頻器級數(shù)。從冇關 手冊中,可杳得cl、c2均為30pfo當要求頻率準確度和穩(wěn)定度更高時,還可 接入校正電容并采取溫度補償措施。由于cmos電路的輸入阻抗極高,因此反 饋電

26、阻r1可選為10mq。較高的反饋電阻冇利于提高振蕩頻率的穩(wěn)定性。非 門電路可選74hcoo。數(shù)字鐘的設計原理是對脈沖信號進行計數(shù),eda硬件開發(fā)系統(tǒng),可以提供 不同的時鐘信號,進行分頻后可以產(chǎn)生秒時鐘。因此在數(shù)字鐘設計結(jié)構上分為計 數(shù)器和顯示器兩部分。秒計數(shù)器、分計數(shù)器、時計數(shù)器合為計數(shù)器,顯示器為液 晶屏或者數(shù)碼管。秒計數(shù)器和分計數(shù)器山兩個六十進制組成,吋計數(shù)器由二十四 進制計數(shù)器構成。顯示器動態(tài)顯示電路由六位七段數(shù)碼管構成。由3-8譯碼器實現(xiàn)位選,進而由數(shù)碼管驅(qū)動電路及編碼,實現(xiàn)數(shù)碼管段選,完成和應時間兄示。 因此,要想構成數(shù)字鐘,首先應冇一個通過分頻產(chǎn)生穩(wěn)定的標準低頻時間脈沖,及頻率為1

27、hz的秒脈沖信號。經(jīng)過分頻器輸出的秒脈沖信號到計數(shù)器屮進 行比較,由于計時的規(guī)律是:60秒=1分,60分=1小時,這就需要分別設計60 進制,24進制。各計數(shù)器輸出的信號經(jīng)譯碼器/驅(qū)動器送到數(shù)字顯示器對應的段 位,使得“時” “分” “秒”得以顯示。另外還可以通過控制接入的幵關控制高低電平進行手動調(diào)分,調(diào)時;同時還 有整點報時功能以及彩燈顯示。電路原理如十*:在單一 cpld芯片上集成如下電路模塊。1)時鐘計數(shù):秒一六十進制bcd碼計數(shù);分一六十進制bcd碼計數(shù);時一 二十四進制bcd碼計數(shù);同時整個計數(shù)器冇清零、調(diào)分、調(diào)時功能。在接近整 數(shù)時間能提供報時信號。2)具冇驅(qū)動八位八段數(shù)碼管的片選

28、驅(qū)動信號和八段字形譯碼輸出。3)蜂鳴器在整點時有報時驅(qū)動信號。4)led燈在整點時有花樣顯示信號產(chǎn)生。2、方案設計與論證 2.1方案及步驟1)根據(jù)電路特點,運用層次化設計的概念。將此次設計分為若干個模塊,規(guī)定 每一個模塊功能和個功能之問的接口。然后將個功能模塊合起來聯(lián)試,加深對層 次化設計理念的理解。2)了解軟件元件管理的深層含義,以及模塊元件之1x1的連接概念;3)適配劃分前后,仿真內(nèi)容有何不同概念,仿真對象冇何不同,熟悉cpld設計 調(diào)試過程屮的手段多樣化。4)按適配劃分g的引腳定位,同相關功能塊硬件電路接i i連線。2. 2組成內(nèi)容根據(jù)系統(tǒng)設計耍求,由時鐘分頻部分、計時部分、按鍵部分、調(diào)

29、時部分和顯示 部分五個部分組成。1)時鐘計數(shù):首先下載程序進行復位清零操作,電子鐘從00: 00: 00計吋開始。sethour 可以調(diào)整時鐘的小時部分,setmin可以調(diào)整分鐘,步進為1。由于電子鐘的最小計時單位是ls,因此提供給系統(tǒng)的內(nèi)部的時鐘頻率應該 大于1hz,這里取loohzo clk端連接外部10hz的時鐘輸入信號elk。對elk進行 計數(shù),當clk=10時,秒加1,當秒加到60時,分加1;當分加到60時,時加1;當 時加到24時,全部清0,從新計時。用6位數(shù)碼管分別顯示“時”、“分”、“秒”,通過output( 6 downto 0 )± 的信號來點亮指定的led七段顯

30、示數(shù)碼管。2)時間設置:手動調(diào)節(jié)分鐘、小吋,可以對所設計的吋鐘任意調(diào)吋間,這樣使數(shù)字鐘真正 具有使用功能。我們可以通過實驗板上的鍵7和鍵4進行任意的調(diào)整,因為我們 用的吋鐘信號均是1hz的,所以每led燈變化一次就來一個脈沖,即計數(shù)一次。3)清零功能:reset為復位鍵,低電平時實現(xiàn)清零功能,高電平時正常計數(shù)。對以根據(jù)我 們自己任意時間的復位。3、單元電路設計與參數(shù)計算 3.1系統(tǒng)的頂層圖設計1)對外的端口引腳名稱:車俞入:elk, reset, setmin, sethour,clkdsp;輸出:speaker, lamp2.o, sel2.o, a, b, c, d, e, f, g, d

31、pout。2)底層元件名稱:秒計數(shù)器second模塊(六十進制)、分計數(shù)器minute模塊(六十進制)、 小吋計數(shù)器hour模塊(二十四進制)、揚聲器及彩燈聲光報警模塊alert、 時間掃描分時選擇模塊seltime、8421 bcd到七段譯碼模塊deled。各底m 模塊分別由vhdl編寫。3)內(nèi)部芥模塊連線。3.2實驗連線1)輸入接口代表清零,調(diào)吋,調(diào)分信號reset、sethour、setmin的引腳分別連 接撥動開關;代表計吋吋鐘信號clk和掃描吋鐘信號clkdsp的引腳分別同 1hz的時鐘源和200hz (或更高)的時鐘源相連。2)輸出接口代表掃描顯示的驅(qū)動信號sel2.o連到實驗儀的

32、sel2、sel1、sel0端, 段碼信號輸出abcdefg連到實驗儀7段數(shù)碼管的段碼插口 abcdefg端,小數(shù)點dp 輸出端同實驗儀的7段數(shù)碼管的段碼插i i dp相連;代表揚聲器驅(qū)動信號的引腳 speaker同揚聲器的驅(qū)動接口 speaker相連;代表花樣led燈顯示信號引腳 lamp2.o同三個led燈相連。如下,頂層clock_top. bdf圖圖13.3時模塊設計具體源代碼見附錄3.4分模塊設計具體源代碼見附錄3.5秒模塊設計其體源代碼見附錄3.6報警模塊設計具體源代碼見附澩3.7譯碼器模塊設計具體源代碼見附錄3.8時間數(shù)據(jù)掃描分時選擇模塊文本具體源代碼見附錄圖24. 2元件清單元

33、件序號型號主要參數(shù)數(shù)量備注cpld 芯片ep1k30tc144-31led3蜂鳴器1數(shù)碼管8按鍵35、安裝與調(diào)試1)在選用掃描時鐘時,我們只知道人要看見的頻率是大于24hz,但是由丁選 的過小,閃爍明顯,我們又將吋鐘改為160hz。2)在鎖定引腳后我們發(fā)現(xiàn)編譯出錯誤,經(jīng)過老師的指點以及help,原來是對于alex ik芯片需要將咼電平設置為2. 5v.3)卜*載完成幻數(shù)碼管只宥第一位進行計數(shù),原來掃描時鐘出現(xiàn)問題,我們猜測是i/o 口出現(xiàn)問題,然后將掃描吋鐘的引腳重新鎖定,實驗成功。六、性能測試與分析 6. 1 頂層 c i ock_top. bdf 圖涵./clock_lop.bdfssco

34、n3minuteoksocl3.0)»ec0(3.0)stftin r rr»hckfrwl3.o)delitw>0(3. 0jcsdenhoyr60f'houftti卡eflem|3 0reset h0|3.0a*»1r>:mc22crt(sp93>(bmp(2.01speske- -*t3tnurt'r 0ie<0. 0)mstlscltimeokl»ecl(3.0j i*c0(3 0) nw>13. 0) nw0(3.0)bofl.od«out£3. 0 m2 01nst5.wwmt

35、1j : 6.2時模塊仿真蜘 time bar15 65n$<| .| pointer0供irieival-15.65rtsstart: |end:)ps40 9 ns8o.9 ns120 p ns160.p ns200 0 ns240.0 ns280 ,0 ns320.015.65 nsjtjitvlrltlrlrirlrirlrvlrltirlrlrlrvlrlrlrlrirlrirlrvlrvus-0c1dc2r0蠡1圖4每經(jīng)過一個脈沖,吋就會加1。復位鍵reset可以使吋個位和十位清零。6.3分模塊仿真»:4e 7oacti4qai& tire bai a 96

36、199r<!>|pcwe»83msuitendb.om $0-9 ns 130.0 m 170 0 w 210 0 ns 250.0 as 2w 0 »320.0 3tq 0 w 410 0 as 450 0 ns 493.0 as 520.0 gto.0 ns<*2a>elk elkl aijikir q asio 田 eifil r«sttjvmtvuuinnrjvulmntlrnrjvulruuumnrjuulruvumnnruuulrvuwjvumruln -u"lrm_n_-u"ltlj"ui_-ij

37、"lnj"ui_-ij"lrlui_nj"lrlui_nj"ln rtd®s®®e®(nixds®®e®e®(nd5)s®®e®®s®(ixd2)e®e®<圖5在手動脈沖與計數(shù)時鐘的共同作用可進行調(diào)時,同時進位脈沖也可以使時加1。 復位鍵reset可以使分個位和十位清零。6.4秒模塊仿真21.725 nsjjj pointer20.33 nsinterval:1.4 nsstart:tie

38、bar:ps21.725 ns80.0 ns160.0 ns240.0 its320.0 ns400.0 ns480.0 ns560.0 nsjidkmjmnnmjmmmnnnjimmmmroumnmmrouimnjimmnjuimnjvmmjijijtu娥灑o)gf0x1i23x451 11 11 11 1圖6在手動脈沖與計數(shù)時鐘的共同作用可進行調(diào)分,同時進位脈沖也可以使時分1。 復位鍵reset可以使秒個位和十位清零。6.5報警仿真simulation waveformssi mia*, a on node fur.rtt catlmarfw i his16.225 r« 小 |

39、 porie<.382 ps1b.織 isu«t0|»trdops16 z.psig.225 nx -1jl20 q ns50 q ns70 q ns2 10 3 2二;bo;1«lll llt3 曰txhxzxiz®5ixzxid(zxidzd3zxid5eigz_jlj-ljj-lj-lj-lj-lj-lj-lj-lj-lj-lj-lj-l_jo : ; 3 2 i 1 丨 fit-j-lj-l_t j-lj-l_r_j-lj-lj-l_r_j-lj-l-oj-l_ti1_rn_rn_r"l rnjljljljljljlrnjlrlj

40、lj閣7當分個位和i位同吋為0吋,并且吋釗樣沖為上升沿則蜂鳴器會有響聲。6.6譯碼器模塊仿真nulali on mod*: functionold 丄ipo,n,erintervalsuhopserxl:nainevalue16 . 2g祿0(3 ledu 1:16v.5v.4v3vc>5 2v.1v070u13日 nunv *ltf-9 3v1-10 2v 11111vi112l.0v 1time bat:nr10.0 ns20.0 ns30. 0 nx<0.0ns50.0 nx60. 0 ns70.0 nx80.0ns90 0 ns16.225 ns蹈脈極:證娜鵬醐腦扳證證函勵

41、題通細哦題跟k極級證題腿wzkok曬函跟函扱畫眩ljljljl_jlj-lj-1_1-lt-o-lj-lnljl_r"l_t"ljlj-lt1rri_royyyr'ljfsytyljlji_rljljr7 xi3yi5yoy2y8?irisysytysn:l3xr5y (ty2y8yi5y57 7 x 8?i;l oy ox 73 3y15y0y2n jn r".j-lnlt"lo_n_r-lru-l_rl_rl_rl_rn o nl_o.圖8對于不同的num3. . 0,對應于數(shù)碼管的七段會有不同的妞示字符。6. 7時間數(shù)據(jù)掃描分時選擇模塊文本

42、仿真?etirrte vhd tion rep )al notice tv sumrru w setting lulator summary settings simulatic simulatic ini usag message| compilation report - flow summaryiq sdlimesimulation report - sirnulotion wavcf.simulation waveforms16.225ns <| >| porter2.3nsinlerval:13.93 nsstart:opsend:opsa¥典>8

43、6;o:t*夕ivalue 18. 2:10clklv曰 daoutvt>23vi 2vi«fc>41vi050】v滲6q kot?麥11a kivi1160 nxnov1121田 ninlv :126r«s«tv127田 secou 1132田 seelv :s>37 selv:master tme bar.)psns 20 0 ns 30.940.9 ns 50.0 ns 60.0 ns 70.9 ns 80.9 ns 90 0 ns 100.0 ns 110.0 ns 120 0 ns16. 225 ax1_ii_ii_ii_ii_ii_i

44、i_ii_lrltlrltlmln_rutrumjuijqn n rltl'鐸鉺洚舒鐵a效舒ssssssssss舒ssa豉ssssssass&gsssss資ssssgsss&gs效資assess緙agsssg棚翻腿灘脳腿鵬脳腦醐醐脳醐翻翻酗醐ss醐醐翻海瀬腿脳醐、cqjcj:it 3 )czxnrmi圖9當sel2.o為不同數(shù)時,對應于數(shù)碼管中代表分、時、秒的位將會顯示。七、結(jié)論實驗箱使用三個按鍵,分別代表手動調(diào)分、調(diào)吋、復位,當調(diào)分、調(diào)吋電平 為高電平吋正常工作,而當復位鍵為低電平吋,按下復位鍵,可以使六個led 復位清零,敁示數(shù)秒的自動計時,可以通過調(diào)時鍵設置小時數(shù)

45、,調(diào)分鍵設置分鐘 數(shù)。當秒數(shù)滿60則進一位,分鐘數(shù)滿60進一位,當顯示為23:59:59時,秒數(shù)在 加一則顯示00:00:00,此吋蜂鳴器會響,而且彩燈也會亮,之后從新計吋。數(shù)字鐘的智能化與多功能化可以體現(xiàn)出eda的功能強大,vhdl語言也廣 泛運用,在生產(chǎn)生活中,起著至關重要的作用。八、心得體會通過這次數(shù)字鐘設計,我進一步加深了對電子設計自動化的了解。并進一步 熟練了對quartusii軟件的操作。eda這個軟件再也不像學習理論般那么空洞, 有了更加貼切的了解及運用。不僅檢驗了我的學習知識,動手能力,也培養(yǎng)了我 如何去掌握方向,研究滲透。宥條理的完成這一件的同時,懂得計劃,深謀遠慮。 在設計

46、過程中,冇請教同學,老師,和同學相互學相互探討,相互監(jiān)督,學 會丫合作,分工,和運籌帷k。在生活屮的理解,寬容和相互幫助,教給了自己 為人處世。實物設計既考驗了軟件知識,也考驗了硬件動手能力,是專業(yè)課程知 識綜合應用的實踐訓練,是我們應用型人冰走向社會,走向工作崗位不可或缺的 學習旅程。當然在實踐操作中,也遇到了很多困難。例如在編寫程序的過程中,遇到了 很多問題,使我發(fā)現(xiàn)自己以前學習上存在的不足。吋、分、秒,是利用24進制 計數(shù)器和60進制計數(shù)器通過記錄脈沖而實現(xiàn)的,計數(shù)器的設計,應用數(shù)字電路 知識,數(shù)據(jù)選擇器、譯碼器也需要相關的專業(yè)知識。通過翻看書本和查閱網(wǎng)絡相 關知識,學到了很多,也認識到

47、cl己很多的不足。vhdl語言的程序代碼編寫, 不斷的仿真、調(diào)試,在一次次失敗中吸取教訓,冰得以把硬件電路,軟件編程, 完美完成。綜合運用專業(yè)知識,理論和實踐相結(jié)合,從而培養(yǎng)自己和提高自己的 獨立動手工作能力,鞏固所學的和關知識,熟練掌握數(shù)字鐘設計的步驟、方法, 以一引十,思考其他電子設計的思路和方法,掌握簡單的電子設計理念,學會怎 樣確定方案,怎樣完善方案,怎樣利用方案。在未來的學習和生活中,也將提高 自己,不斷創(chuàng)新,努力奮斗。凋試過程屮也出現(xiàn)很多問題,實驗儀設備有的出現(xiàn)問題,奮的自己連線奮失 敗的地方。數(shù)碼管很容易燒壞,一定要掌握共陰極、共陽極數(shù)碼管的ix別,合理 選用數(shù)碼管。端口連接處仔

48、細檢查是否漏連,錯連,嚴格按照ft己軟件的引腳分 配進行硬件連接。完成數(shù)字鐘的設計,縱然興奮,但這份喜悅中,我更加明白了cj己的專業(yè)使 命。電+是與時俱進,永不落伍的,作為電子專業(yè)的學生,未來電+行業(yè)的創(chuàng)新 與發(fā)展,需要我們一代代電子人,以豐富的專業(yè)知識武裝自己,用智慧與頭腦, 創(chuàng)造未來,不斷創(chuàng)新不斷鉆研,不斷努力,不斷奮斗。電子未來廣大的發(fā)展市場, 等著我們?nèi)ラ_拓。9、參考文獻1 康華光.電子技術基礎(數(shù)字部分)m.高等教育山版社,2008.01.2 趙志杰.集成電路應用識圖方法m.機械工業(yè)岀版社,2003.3 閻石.數(shù)字電子技術基礎m.高等教育出版社,1997.4 謝自美.電子線路設計、實

49、驗、測試m.華中理工大學山版社,2003.5 呂思忠.數(shù)字電路實驗與課程設計m.哈爾濱工業(yè)大學山版社,2001.6 康平光.電子技術基礎(模擬部分)m.高等教育出版社,2006.01.7 張慶雙.電子元器件的選用與檢測機m.械工業(yè)岀版社,2003.8 蓋吉斯基.數(shù)字設計原理m.清華大學岀版社,2005. 05. 01附錄1) 時模塊設計源代碼library ieee;use ieee. std logic l164. all;use ieee. std_logic_unsigned. al1;entity hour isport (elk, reset:in std_logic;hl, ho:

50、out std logic vector(3 downto 0);end hour;architecture hourarc of hour isbeginprocess (elk,reset)variable cntl, cnto:std logic vector(3 downto 0); beginif reset=, 0 thencntl:="0000"cnt0:="0000;elsif clk,event and clk=, v thenif cntl=0010" and cnt0=0011 thencntl:"0000"cn

51、t0:="0000"elsif cnt0<"1001" thencnt0:=cnt0+l;elsecnt0:=z/0000z,;if cntl"0010" thencntl:=cntl+l;elsecntl:="0000"end if;end if;end if;hl<=cntl;ho<=cnto;end process;end hour_arc;2) 分模塊設計源代碼library ieee;use ieee. std_logic_1164.all;use ieee. std_logic_uns

52、igned.all;entity mtnutr isport (elk, clkl,reset, sethour:in std_logic;mini, mino:out std_logic_vector(3 downto 0); enhour:out stdlogic);end minute;architecture mtn of mtnute issignal cnhour_b cnhour_2: std_logic;beginenhour_2<=(sethour and clkl);enhour<= (enhour 1 or enhour 2);process(elk, res

53、et, clkl, sethour)variable cntl,cnto:std_logic_vcctor(3 downto 0); beginif reset=, 0 thencntl:="0000"cnt0:=z/0000z,;clsif clk,event and clk=' t thenif cntl="0101" and cnt0="1000" thenenhour_l<= 1 ;cnt0:="1001"elsif cnt0<"1001 thencnt0:=cnt0+l;elsecnt0:="0000"if cntl"0101" thencntl:=cntl+l;elsecntl:="00

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論