簡易信號發(fā)生與檢測電路設(shè)計報告_第1頁
簡易信號發(fā)生與檢測電路設(shè)計報告_第2頁
簡易信號發(fā)生與檢測電路設(shè)計報告_第3頁
簡易信號發(fā)生與檢測電路設(shè)計報告_第4頁
簡易信號發(fā)生與檢測電路設(shè)計報告_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、湖南涉外經(jīng)濟學(xué)院課程設(shè)計報告課程名稱:電子技術(shù)報告題目:簡易信號發(fā)生與檢測電路設(shè)計學(xué)生姓名:所在學(xué)院:信息科學(xué)與工程學(xué)院專業(yè)班級:電子信息工程1102班學(xué)生學(xué)號:指導(dǎo)教師:康清欽董莉2013年 6月 28 h電子技術(shù)課程設(shè)計任務(wù)書報告題目簡易信號發(fā)生與檢測電路設(shè)計完成時間2013.6.17 至2013.6.28學(xué)生姓名專業(yè) 班級指導(dǎo)教師職稱講師總體設(shè)計要求和技術(shù)要點一、設(shè)計要求1. 設(shè)計一個由集成運算放大器和晶體管放大器組成的簡易信號發(fā)生器,要求能產(chǎn)生方波、三角波、正弦波。2. 用小規(guī)模數(shù)字集成電路設(shè)計一個計數(shù)器,要求能對簡易信號發(fā)生器產(chǎn)生方 波、三角波、正弦波進行計數(shù)。3. 每小組應(yīng)同時完成

2、1、2兩部分電路。設(shè)計的信號發(fā)生器產(chǎn)生方波、三角波、 正弦波能用示波器檢測驗證,設(shè)計的計數(shù)器對信號發(fā)生器產(chǎn)生方波、三角波、正弦 波進行計數(shù)應(yīng)與專用儀器檢測數(shù)據(jù)一致。二、技術(shù)要點1. 信號發(fā)生器部分技術(shù)耍點系統(tǒng)圖如下:要求:(1)輸出波形正弦波、方波、三角波。(2) 頻率范圍 頻率范圍1hz1ohz, 10hz100hz。(3) 輸出電壓 方波的輸出電壓峰峰值為10v,三角波峰峰值、止弦波峰峰 值>lv(4) 波形特性 表征正弦波特性的參數(shù)是非線性失真rl,-般耍求rl<3%:表征三角波特性的參數(shù)是非線性系數(shù)是r2,-般要求r2<2%;表征方波的參數(shù)是上升時間一般要求小于100

3、ns (1kiiz,最大輸出時)。2. 計數(shù)器部分技術(shù)耍點系統(tǒng)圖如下:led指刀、, 按學(xué)共陽極數(shù)碼管號從以下課題中選擇一個課題。電路形式進制碼制增減采用器件同步十進制84215421(a)5421(b)5221(a)5221(b)2421(a)2421(b)jk觸發(fā)器 d觸發(fā)器要求:(1)電路設(shè)計耍簡單,譯碼顯示器不需要做岀實物,只耍理論設(shè)計。(2)必須按指定觸發(fā)器設(shè)計,不能由其它觸發(fā)器轉(zhuǎn)換。(3)必須采用指定的元器件設(shè)計電路。(4)計數(shù)器與譯碼顯示器z間必須用4個led指示計數(shù)的二進制編碼值。(5)理論設(shè)計中要將其擴展成4位十進制。(6)此部分系統(tǒng)圖中時鐘脈沖選用信號發(fā)生器產(chǎn)生的正弦波、方

4、波或三角波, 跳線連接。各種碼制的編碼表如下:十進制84215421(a)5421(b)5221 (a)5221(b)2421(a)2421(b)00000000000000000000000000000100010001000100010001000100012001000100010001000100010001030011001100110011001100110011401000100010001100110010010105010101011000011110000101101160110011010011001100101101100701110111101010101100011

5、111018100010111011101111011110111091001110011001110111011111111材料清單及工具-、材料清單元件類型型號主要參數(shù)單組數(shù)穩(wěn)壓管2cw21b2個電解電容470 nf3個100 nf1個獨石電容louf1個0. 05 u f1個0. luf11個電阻固定電阻10kq2個20kq4個2 kq2個1 kq13個75 kq1個100 q1個6. 8kq2個電位器47 kq2個100 kq1個4.7 kq1個集成塊7412個74hc008個74hc732個74hc742個三極管90134個發(fā)光二極管5 mm5個ic插座14腳11個香蕉插頭4mm6個

6、香蕉插座4mm6個焊錫絲若干其它電阻、電容、導(dǎo)線若干松香1個萬能板17cm*22cm1塊二、工具及檢測儀器1焊接工具1套。2常用檢測儀器1套。如萬用表、示波器、信號發(fā)生器等。工作內(nèi)容及吋間進度安排一、預(yù)設(shè)計階段(第17周星期一):包括教師授課、方案論證、設(shè)計計算和完成預(yù)設(shè)計(1天)。二、安裝調(diào)試階段(第17周星期一至第18周星期三): 包括組裝電路、調(diào)試和檢測,完成實際電路(7天)。三、總結(jié)報告階段(第18周星期四至第18周星期五): 包括總結(jié)設(shè)計工作,寫出設(shè)計說明書和最后的考核(2天)。課程設(shè)計成果一、課程設(shè)計作品同時完成兩部分電路設(shè)計與制作,實現(xiàn)其設(shè)計內(nèi)容和功能,布局美觀、布線工 整,電路

7、運行穩(wěn)定、可靠。二、課程設(shè)計總結(jié)報告(1)嚴(yán)格遵守課程設(shè)計報告格式要求。做到內(nèi)容完整正確、格式規(guī)范。(2)課程設(shè)計報告正文部分內(nèi)容應(yīng)包括:a. 設(shè)計題目;b. 主要指標(biāo)和要求;c. 方案選擇及電路工作原理;d. 單元電路設(shè)計計算,元器件的選擇,i田i出電路圖等;e. 安裝、調(diào)試中遇到的問題,解決的方法以及實驗效果等;f. 電路性能指標(biāo)測試結(jié)果,是否滿足要求及對成果的評價;g收獲、體會和改進設(shè)計的建議。出勤與紀(jì)律1課程設(shè)計時間:上午8: 00-11: 30,下午2: 00-5: 302. 學(xué)生應(yīng)嚴(yán)格遵守紀(jì)律,不遲到、不早退、不無故缺課。3. 學(xué)生在課程設(shè)計期間,注意自身與他人安全,愛護實驗室財產(chǎn)

8、,違者按相關(guān) 文件追查責(zé)任。4. 課程設(shè)計報告獨立完成,不得以任何形式抄襲他人資料或成果(包括但不限 于同學(xué)的資料或網(wǎng)上資料)。一經(jīng)發(fā)現(xiàn)該科目計0分。摘要在人們認識自然、改造自然的過程中,經(jīng)常需要對各種各樣的電子信號進行 測量,因而如何根據(jù)被測量電子信號的不同特征和測量要求,靈活、快速的選用 不同特征的信號源成了現(xiàn)代測量技術(shù)值得深入研究的課題。信號源主要給被測電 路提供所需要的已知信號(各種波形),然后用其它儀表測量感興趣的參數(shù)??梢?信號源在各種實驗應(yīng)用和實驗測試處理中,它不是測量儀器,而是根拯使用者的 要求,作為激勵源,仿真各種測試信號,提供給被測電路,以滿足測量。波形發(fā)主器就是信號源的一

9、種,能夠給被測電路提供所需要的波形,廣泛地應(yīng) 用于各大院校和科研場所。隨著科技的進步,社會的發(fā)展,單一的波形發(fā)生器己 經(jīng)不能滿足人們的需求,而我們設(shè)計的正是多種波形發(fā)生器。本次設(shè)計用運放來 組成rc積分電路,低通濾波電路來分別實現(xiàn)方波,三角波和正弦波的輸出。它 的制作成本不高,電路簡單,使用方便,有效的節(jié)省了人力,物力資源。本文通過介紹一種電路的連接,實現(xiàn)函數(shù)發(fā)生器的基本功能。將其接入電源, 具有實際的應(yīng)用價值。并通過在示波器上觀察波形及數(shù)據(jù),得到結(jié)果。電壓比較 器實現(xiàn)方波的輸出,乂連接積分器得到三角波,并通過三角波正弦波轉(zhuǎn)換電路 看到正弦波,得到想要的信號。ni multisim軟件結(jié)合了直

10、觀的捕捉和功能強大的仿真,能夠快速、輕松、高 效地對電路進行設(shè)計和驗證。憑借ni multisim,可以立即創(chuàng)建具有完整組件庫 的電路圖。本設(shè)計就是利用multisim軟件進行電路圖的繪制并進行仿真。計數(shù)器是數(shù)字系統(tǒng)中應(yīng)用較多的時序電路,他能用于定時、分頻及進行數(shù)字 用算等。計數(shù)器的種類繁多,從不同的角度有不同的分類方法。按計數(shù)體制可分 為二進制計數(shù)器和非二進制兩大類。按增減趨勢可分為加計數(shù)器減計數(shù)器。按脈 沖引入可分為同步和異步計數(shù)器。關(guān)鍵詞:電源,波形,比較器,積分器,轉(zhuǎn)換電路,低通濾波,multisim,計數(shù) 器,同步和界步計數(shù)器目錄一、概述1二、單元電路與設(shè)計11. 方波、三角波和止弦

11、波信號發(fā)生器的設(shè)計11.1 總原理電路圖11.2方波設(shè)計原理21.3方波轉(zhuǎn)三角波設(shè)計原理31.4三角波轉(zhuǎn)止弦波設(shè)計原理31.5 仿真方波、三角波波形圖42. 5421bcd碼同步十進制加計數(shù)器的設(shè)計 52.1設(shè)計原理52.2設(shè)計目標(biāo)52.3設(shè)計過程及內(nèi)容52. 3. 1設(shè)計思路52.3.2狀態(tài)轉(zhuǎn)換圖52. 3.3真值表62.3.4完整狀態(tài)轉(zhuǎn)換圖 62. 3.5卡諾激勵圖 72.3.6計數(shù)器仿真圖 73. 實踐步驟84. 實踐標(biāo)準(zhǔn)8三、結(jié)論與心得81. 課程設(shè)計結(jié)論分析81. 1相關(guān)實測圖片81.2原理設(shè)計過程中的問題91.3調(diào)試過程中的問題101.4 調(diào)試注意事項101.5查找故障的方法102

12、. 課程設(shè)計心得體會10參考文獻11概述信號發(fā)生器乂稱信號源或振蕩器,在生產(chǎn)實踐和科技領(lǐng)域中有著廣泛的應(yīng) 用。各種波形曲線均可以用三角函數(shù)方程式來表示。能夠產(chǎn)生多種波形,如三角 波、鋸齒波、矩形波(含方波)、正弦波的電路被稱為函數(shù)信號發(fā)生器。函數(shù)信 號發(fā)生器在電路實驗和設(shè)備檢測中具有十分廣泛的用途。例如在通信、廣播、電 視系統(tǒng)中,都需要射頻(高頻)發(fā)射,這里的射頻波就是載波,把音頻(低頻)、 視頻信號或脈沖信號運載岀去,就需要能夠產(chǎn)生高頻的振蕩器。在工業(yè)、農(nóng)業(yè)、 生物醫(yī)學(xué)等領(lǐng)域內(nèi),如高頻感應(yīng)加熱、熔煉、淬火、超聲診斷、核磁共振成像等, 都需要功率或大或小、頻率或高或低的振蕩器。計數(shù)器是數(shù)字系統(tǒng)

13、屮應(yīng)用較多的時序電路,他能用于定時、分頻及進行數(shù)字 運算等。計數(shù)器的種類繁多,從不同的角度有不同的分類方法。按計數(shù)體制可分 為二進制計數(shù)器和非二進制兩大類。按增減趨勢可分為加計數(shù)器減計數(shù)器。按脈 沖引入可分為同步和異步計數(shù)器。本設(shè)計要求實現(xiàn)一個信號發(fā)生器,能夠產(chǎn)生正弦波,三角波和方波;信號源 的輸出可以是電壓型或電流型。還要實現(xiàn)一個利用jk觸發(fā)器來實現(xiàn)十進制加計 數(shù)器。二、單元電路與設(shè)計1. 方波、三角波和正弦波信號發(fā)生器的設(shè)計1.1總原理電路圖由集成運算放大器構(gòu)成的方波和三角波發(fā)生器,一般均包括比較器和rc積 分器兩大部分。如圖1-1和圖1-2所示為由遲滯比較器和集成運放組成的積分電 路所構(gòu)

14、成的方波和三角波發(fā)生器。電壓比較器 二)積分電路 二低通濾波器圖1t轉(zhuǎn)換圖1.2方波設(shè)計原理如前邊的圖所示,心的左邊電路為雙門限同相輸入電壓比較器(滯回比較器),不用單門限比較器是因為它的抗干擾能力羌,在接近門限電壓是使得輸出電壓時正時負不穩(wěn)定。右邊為積分運算電路。鳳的右邊為放人器的積分屯路。 圖屮滯冋比較器的輸出電壓u(a = ±uz ,它的輸入電壓是積分電路的輸出電壓uo(1)根據(jù)疊加定理,集成運放a1的同相輸入端的電位如式(1)所示。 rruoi x ra u0xr2up =+ri + ra r2 + r4令up =un=o ,則閾值電壓如式(2)所示raxuor2(2)因此,

15、滯冋比較器的電壓傳輸特性如下圖1-3所示。5一5n 15圖1-3滯冋比較器傳輸特性illi線1.3方波轉(zhuǎn)三角波設(shè)計原理電路的振蕩原理,合閘通電,通常c上電壓為oo設(shè)uol f - upl t - uol f f,直至uol = uz;積分電路反向積分,t t -> uol, 一旦uo過一ut , uol從+ uz躍變?yōu)橐籾z。積分電路正向積分,t t -* uo t , 一旦uo過+ ut , uol從一uz躍變?yōu)? uz,返回第一暫態(tài)。重復(fù)上述過程,產(chǎn)生周期性的變化, 即振蕩。由于積分電路反向積分和正向積分的電流大小均為uol/(r3+r7),使得 u0在一個周期內(nèi)的下降時間和上升時間

16、相等,且斜率的絕對值也相等,因而將 方波轉(zhuǎn)換為三角波。主要參數(shù)估算:振蕩幅值在如圖所示的三角波一方波發(fā)生電路中,因為積分 屯路的輸出電壓就是同相滯回比較器的輸入電壓,所以三角波的幅值為:土uo時±ut=±uz r1/r2因為方波的幅值決定于由穩(wěn)壓管組成的限幅電路,所以:uoh=+uz, uol二uz(3)振蕩周期:在振蕩的二分之一周期內(nèi),起始值為一ut,終了值為+utut=uz t/2 1/r3 *c-ut(4)得到t=4 r1 (r3+r7) c/(r2+r6)(5)積分器的輸出uo=1 / (r3+r7) j uoldt(6)uol=+vcc 時:uo2= (+vcc)

17、 t/(r3+r7) *c1(7)可見積分器的輸入為方波吋,輸出是一個上升速度與下降速度相等的三角 波。比較器與積分器首尾相連,形成閉環(huán)回路,則自動產(chǎn)生方波三角波,三 角波的幅度為uo2=vcc r1/(r3+r7)(8)方波一一三角波的頻率為f= (r2+r6)/4r 1 (r3+r7)(9)所以有以下結(jié)論:1.電位器r7在調(diào)節(jié)方波三角波的輸出頻率時,不會 影響輸出波形的幅度,若要求輸出頻率的范圍較寬,口j用c1改變頻率的范圍, r7實現(xiàn)頻率微調(diào)。2.方波的輸出幅度應(yīng)等于電源電壓+vcc,三角波的輸出幅度應(yīng) 不超過電源電壓+vcc,電位器r6可實現(xiàn)幅度微調(diào),但會影響方波三角波的頻 率。1.4

18、三角波轉(zhuǎn)正弦波設(shè)計原理由rc串聯(lián)接一個同相比例運算電路組成一個一階有源低通濾波器,濾出一部分干擾,得到想要的波形,但是往往一個rc回路的過渡帶還很寬,所以,為 了更好的達到濾波的效果,盡量減小過渡帶,可以多加rc回路,構(gòu)成多節(jié)低通 濾波器,因此,在這用了二階濾波器來達到目的。在電路中,當(dāng)信號頻率趨于零時,同相輸入端的電位up二ui,故電路的通帶 放大倍數(shù)等于同相比例運算電路的比例系數(shù),即aup=up/ui= 1+r11/r12(10)電路的電壓放大倍數(shù):au=uo/ui=(l+rf/rl) up/ui=aup/(l+jwrc)=aup/l+j f(11)1.5仿真方波、三角波波形圖t1t2t2

19、-t12.21.6內(nèi).i無眉刼k b 汀ac水平b寸同90.024 ms 140.024 ms50.000 msi y/t add i bzaja/bo i idc e-e &6o 7 6.680 v 13.359 v通道 一刻度 |s v/drv v位蠱 1-1日寸基妾謔 | 5 ms/d2x位羞1.073 v -3.315 v 9.388 v 通道?妾i度,70zy位羞ac i o i dc圖1-4方波、三角波形圖圖1-5三角、正弦波波形圖2. 5421bcd碼同步十進制加計數(shù)器的設(shè)計2.1設(shè)計原理1、利用jk觸發(fā)器進行模擬,并了解計數(shù)器的計數(shù)原理。2. 2設(shè)計目標(biāo)設(shè)計并實現(xiàn)一個采

20、用小規(guī)模數(shù)字集成電路的計數(shù)器。系統(tǒng)圖如圖2-1led指不圖2-i r進制計數(shù)器方框圖1. 電路設(shè)計要簡單,譯碼顯示器不需要做出實物,只要理論設(shè)計。2. 必須按指定觸發(fā)器設(shè)計,不能由其它觸發(fā)器轉(zhuǎn)換。3. 必須采用指定的元器件設(shè)計電路。4. 計數(shù)器與譯碼顯示器之間必須用4個led指示計數(shù)的二進制編碼值。5. 理論設(shè)計中要將其擴展成4位十進制。2.3設(shè)計過程及內(nèi)容2. 3.1設(shè)計思路首先列出真值表,畫出狀態(tài)轉(zhuǎn)換圖,根據(jù)真值表畫卡諾圖化簡進位方程、各 狀態(tài)方程、激勵方程。檢查自啟動并畫屯路圖。5421 bcd碼的十進制同步遞增計 數(shù)器有十個有效狀態(tài),采用時鐘脈沖下降沿觸發(fā),當(dāng)?shù)诰艂€脈沖到來時,進位為1

21、, 當(dāng)?shù)谑畟€時鐘脈沖到來時,進位為0,出現(xiàn)下降沿,從而產(chǎn)生進位。2. 3.2狀態(tài)轉(zhuǎn)換圖qn3qn2qniqno圖2 2狀態(tài)轉(zhuǎn)換圖2. 3.3列出真值表如表2-1表2-1真值表cpqn3 qn2 qni qn0j3 k3j ' k2j.k,.jo koq; 1q"-q.-1tc000000x0x0x1x00010100010x0x1xx100100200100x0xx01x00110300110x1xx1x101000401000xx00x1x01010501010xx01xx101100601100xx0x01x01110701111xx1x1x11000081000x00x

22、0x1x1001191001x10x0xx100000圖2-3真值表2.3.4列出完整狀態(tài)圖當(dāng)電路進入無效狀態(tài)時(0000),代入次態(tài)方程,得次態(tài)q3q2q1qq=0000, 進入正常狀態(tài);當(dāng)電路無效狀態(tài)1001時,代入次態(tài)方程得1010,為無效狀態(tài),再代入次態(tài)方程得0010,進入止常狀態(tài);當(dāng)電路進入無效狀態(tài)1101時,代入次 態(tài)方程,得1110,為無效狀態(tài),當(dāng)電路進入無效狀態(tài)1110時,再代入次態(tài)方程 得0011,進入正常狀態(tài);當(dāng)電路進入無效狀態(tài)1111時,代入次態(tài)方程,得1011, 進入止常狀態(tài)。由此,基于5421 bcd碼的十進制同步遞增計數(shù)器貝有口啟動得特性。2. 3.5激勵卡諾圖lx

23、xlxllxoxlxxlxooxoxlxoxoxoxoxoxlxxlxolxoxlxxoxoxoxoxlxooxoxlxoxoxxxxxxxoxxxxxxxxlxxxxxxxxxxxxxxxxxxxlxxxxxxxlxxxxxxlxxxxxxxxoxx圖2-5激勵卡諾圖2. 3.6計數(shù)器仿真圖r21/w1r3-wa-r1500 ohn74hc00k 6v-aa/v- 500 ohs usa<r74hc00n 6v(j7a74hc00n 6vr4500 ohmu8a74hc00n vu2a74hc73k 6v74hc73n 6v5vsoo ohn圖2-4十進制計數(shù)器仿真圖3. 實踐步驟1、

24、收集相關(guān)資料,完成相關(guān)電路的設(shè)計圖,止確選用適合設(shè)計內(nèi)容的集成 電路、元器件和器材,并列出“材料清單”。2、根據(jù)所設(shè)計的電路圖,組裝調(diào)試計數(shù)器電路。3、檢杳電路各部分的功能,使其滿足設(shè)計要求。4. 實踐標(biāo)準(zhǔn)完成設(shè)計制作焊接,排版,實現(xiàn)其設(shè)計基本內(nèi)容和功能,裝配工藝美觀,電 路運行穩(wěn)定、口j靠。三、結(jié)論與心得1. 課程設(shè)計結(jié)論分析1.1相關(guān)實測圖片圖3 1計數(shù)器調(diào)試結(jié)果圖圖3-2方波實測圖圖3-3模電數(shù)電面包圖圖3-4三角波實測圖1.2原理設(shè)計過程中的問題一開始我們利用網(wǎng)絡(luò)查找資料,但是網(wǎng)上的資料不全面并非常的凌亂,手續(xù) 非常復(fù)朵。后來我們著手去圖書館找資料,我們發(fā)現(xiàn)圖書館找資料有很多優(yōu)勢, 其

25、中資料分類分的很清晰,找的資料書上的內(nèi)容都比較全面,還冇就是在運算化 簡的吋候花費了好久,通過這次找的資料的經(jīng)歷,我熟悉了一些與電子相關(guān)的網(wǎng) 站,而在圖書館里也知道屯子方面書籍的人概位路,以及查找使用圖書館資料方 法,并且在極大提高了我的專業(yè)知識水平,拓寬了我的知識面。1.3調(diào)試過程中的問題1、在調(diào)試模電部分時遇到了很多問題譬如怎么去調(diào)節(jié)使方波、三角波、正 弦波達到最佳效果等等。有很多地方以我的焊接技術(shù),可以說是這課程設(shè)計是回 天無術(shù)的。在老師的幫助下,我們懂得了穩(wěn)壓器應(yīng)該怎么接,最后在我們的共同 努力下,把它給焊接出來了。2、在計數(shù)器的設(shè)計中花費的吋間最長,因為接線也比較復(fù)雜,剛開始進行 計

26、算時也出了點下問題,不過老師還是把電路圖給出來了。因為接線比較復(fù)雜, 所以總是出錯,虛焊、短路之類的那是經(jīng)常的事,最后在仔細的觀察下終于找到 了錯誤,獲得了成功。1.4調(diào)試注意事項1、作詁要輕拿輕放。2、調(diào)試之前要熟悉各種儀器的使用方法,并仔細加以檢查,避免由于儀器使 用不當(dāng)或出現(xiàn)故障而作出錯誤判斷。3、測試儀器和被測電路應(yīng)用有良好的共地,只有使儀器和電路之間建立一 個公共的參考點,測試的結(jié)果才是準(zhǔn)確的。4、調(diào)試過程中,發(fā)現(xiàn)器件或接線有問題需要更換或修改時,應(yīng)關(guān)斷電源, 持更換完畢認真檢查后方可重新通電。5、調(diào)試過程屮,不但要認真觀察和檢測,還要認真記錄。包括記錄觀察的 現(xiàn)象、測量的數(shù)據(jù)、波形

27、及相位關(guān)系,必要時在記錄中應(yīng)附加說明,尤其是那些 和設(shè)計部符號的現(xiàn)象更是記錄的重點。依據(jù)記錄的數(shù)據(jù)才能把實際觀察的現(xiàn)象和 理論預(yù)計的結(jié)果加以定量比較,從屮發(fā)展問題,加以改進,最終完善設(shè)計方案。 同過收集第一手資料可以幫助口己積累實際經(jīng)驗,切不可低佔記錄的重要作用。 1.5查找故障的方法1、檢查用于測量的一起是否使用得當(dāng)。2、檢查安裝的線路與原理是否一致,包括連線、元件的參數(shù)、集成電路的 安裝位置是否正確等。3、測量元器件接線端的電源電壓。使用接插板做實驗出現(xiàn)故障吋,應(yīng)檢查 是否因接線端不良而導(dǎo)致元器件木身沒有止常工作。4、斷開故障模塊輸出端所接的負載,可以判斷故障來自模塊木身還是負載。5、檢查元器件使用是否得當(dāng)或已經(jīng)損壞。在實驗、實習(xí)屮大量使用的是屮 規(guī)模集成電路,由于它的接線端比較多,使用時會將接線端接錯,從而造成故障。 在電路屮,由于安裝前經(jīng)過調(diào)試,元器件損壞的可能性很小。如果懷疑某個元器 件損壞,必須對它進行單獨調(diào)試,并對已損壞的元器件進行更換。2. 課程設(shè)計心得體會為

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論