畢業(yè)設(shè)計(論文)基于AT89S52單片機(jī)的公交車漢字顯示系統(tǒng)設(shè)計_第1頁
畢業(yè)設(shè)計(論文)基于AT89S52單片機(jī)的公交車漢字顯示系統(tǒng)設(shè)計_第2頁
畢業(yè)設(shè)計(論文)基于AT89S52單片機(jī)的公交車漢字顯示系統(tǒng)設(shè)計_第3頁
畢業(yè)設(shè)計(論文)基于AT89S52單片機(jī)的公交車漢字顯示系統(tǒng)設(shè)計_第4頁
畢業(yè)設(shè)計(論文)基于AT89S52單片機(jī)的公交車漢字顯示系統(tǒng)設(shè)計_第5頁
已閱讀5頁,還剩36頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、283a49990a7e2b13300c14c53e99cdb3.pdf畢業(yè)設(shè)計(論文)報告 題 目 公交車漢字顯示系統(tǒng) 系 別 尚德光伏學(xué)院 專 業(yè) 應(yīng)用電子技術(shù) 班 級 學(xué)生姓名 學(xué) 號 指導(dǎo)教師 2011年 4 月 公交車漢字顯示系統(tǒng)摘要:本系統(tǒng)以at89s52單片機(jī)為控制核心,以無線收發(fā)模塊作為站臺與公交車之間的信號傳輸;顯示界面用液晶顯示器12864,配合按鍵進(jìn)行人機(jī)界面處理,使得操作更加方便,人性化;采用錄音時間較長的isd4004語音芯片進(jìn)行語音報價,使之有良好音質(zhì),并且保證放音時間足夠用。主控部分采用stc89c52單片機(jī),單片機(jī)接收無線模塊發(fā)送來的數(shù)據(jù)并且判斷這個數(shù)據(jù)是哪個站

2、點的數(shù)據(jù),從而控制語音芯片播報語音并且控制12864液晶顯示器用漢字顯示站點的信息。無線發(fā)射部分核心器件選用無線發(fā)射模塊pt2262相對于gps模塊價位很低,經(jīng)濟(jì)實惠,而且控制簡單,只要把它的地址選擇正確既可,最大可以有531441種編碼,對于一個城市來說足夠用。無線接收模塊采用pt2272,它與pt2262配套使用,效果極佳,只要在規(guī)定的范圍即可正確接收pt2262發(fā)送來的數(shù)據(jù),這個數(shù)據(jù)傳送給單片機(jī)作處理。當(dāng)前, 國內(nèi)公交車報站的方式已經(jīng)有了很大的改善, 由以前的售票員喊話報站改變?yōu)轳{駛員使用報站器手動報站,雖然使用手動報站器有了很大的進(jìn)步,但是因為駕駛員需要在保證安全駕駛的前提下進(jìn)行報站。

3、所以經(jīng)常出現(xiàn)錯報、漏報的現(xiàn)象。而且讓駕駛員在駕駛過程中進(jìn)行報站, 也存在安全隱患。采用自動報站的方式可以大大減輕駕駛員的工作量,促進(jìn)公交系統(tǒng)的現(xiàn)代化進(jìn)程。 關(guān)鍵詞:at89s52 無線收發(fā)模塊 pt2262 pt2272 液晶12864 isd語音模塊 自動報站the bus chinese displaying systemabstract:this is an auto broadcast system designed to be used on bus. it is based on the mcu at89s52, and transmits information via a wi

4、reless transmitting module. a lcd and a touch screen are used to provide friendly interface, making interaction between user and the system more convenient. to provide a hi sound quality, we use a low-cost mp3 module, which can also be used to play music when system is idle.master parts stc89c52 scm

5、, single-chip module to receive wireless data and send the data to determine which site data, voice chips in order to control the voice broadcast and control liquid crystal display with 12,864 chinese characters display site information. part of the core of wireless devices to launch a wireless tran

6、smitter module pt2262 selected for the gps module with a very low price, affordable, and easy to control, as long as it can choose the correct address, the largest 531,441 kinds of encoding can, for a city is sufficient. wireless receiver module using pt2272, and pt2262 supporting its use, excellent

7、 results, as long as the scope of the provisions of the right to receive the data sent pt2262, the data transmission to the single chip for processing. at present, the internal bus way station has been reported to have improved considerably, the conductor from the previous call changed to stop the d

8、river manually using the stop at stations, although the devices used to manually stop the progress of a great , but because the drivers need to ensure that the premise of safe driving under the station reported. so often wrongly reported that the omission of the phenomenon. and let the driver in dri

9、ving to stop the process, there are also security risks. the use of automatic way stop could greatly alleviate the workload of the pilot, and promote the process of modernizing public transportation systems. keyword: at89s52, wireless, lcd, touch screen, mp3, auto broadcast目 錄前言5第一章:總論61.1 方案61.2 方案

10、比較與論證71.2.1對車站的識別71.2.2控制部分71.2.3顯示部分71.2.4界面操作71.2.5語音報站81.3最終選擇方案81.4系統(tǒng)結(jié)構(gòu)圖8第二章:各部分電路原理與設(shè)計82.1單片機(jī)主控模塊的設(shè)計82.1.1 簡介92.1.2 主要性能92.1.3 主要引腳說明102.2無線收發(fā)模塊122.2.1編碼解碼芯片pt2262/pt2272芯片原理簡介122.2.2 pt2262/2272芯片的地址編碼設(shè)定和修改172.2.3 df發(fā)射模塊182.3 12864液晶顯示部分232.3.1 概述232.3.2模塊主要硬件構(gòu)成說明262.3.3 指令說明272.4語音部分322.4.1 芯

11、片簡述322.4.2 引腳描述332.4.3 spi(串行外設(shè)接口)352.5按鍵控制部分37結(jié)束語39謝辭40參考文獻(xiàn):41前言目前, 國內(nèi)公交車報站的方式已經(jīng)有了很大的改善,由以前的售票員喊話報站改變?yōu)轳{駛員使用報站器手動報站,雖然使用手動報站器有了很大的進(jìn)步,但是因為駕駛員需要在保證安全駕駛的前提下進(jìn)行報站。所以經(jīng)常出現(xiàn)錯報、漏報的現(xiàn)象。而且讓駕駛員在駕駛過程中進(jìn)行報站,也存在安全隱。采用自動報站的方式可以大大減輕駕駛員的工作量,促進(jìn)公交系統(tǒng)的現(xiàn)代化進(jìn)程?,F(xiàn)在已出現(xiàn)以下公交自動報站技術(shù):1.gps可以確定公交車的地理位置,只要把公交站點的位置數(shù)據(jù)經(jīng)、緯度記錄下來, 就可以知道公交車是否到

12、達(dá)站點。由于公交車途經(jīng)的各站點的經(jīng)緯度數(shù)據(jù)需要預(yù)先測量好, 存放到系統(tǒng)的數(shù)據(jù)區(qū),作為對比參考用,這個工作量是相當(dāng)大的,每次公交車站改地點都帶來巨大麻煩。雖然gps模塊價錢不斷下調(diào),但大多依然要一兩百,是一筆不小的開支,這些都是此技術(shù)未得到推廣的原因。2.用車輛的行駛特征,如: 起步后行駛的距離、開關(guān)門的信號、起步和進(jìn)站打轉(zhuǎn)向燈的方式綜合起來判斷車輛的起步、行駛、進(jìn)站狀態(tài)。這種方法做到了一定程度上的自動報站,但這種方法要求駕駛員一定要按駕駛規(guī)范操作,如果駕駛員操作不規(guī)范或者遇到特殊情況時的緊急處理, 例如中途停車等,就有可能引起報站錯誤。同時,對行駛距離的判斷需要加裝額外的傳感器或連接汽車原有的

13、計程電路,技術(shù)安裝比較復(fù)雜。這里提供了一種基于無線電技術(shù)的公交車車站自動識別、自動報站的技術(shù)方案。由車載無線接收機(jī)接收安裝在公交車站站臺上的無線發(fā)射機(jī)發(fā)出的編碼信號, 進(jìn)行識別,并控制車載廣播系統(tǒng)報站,實現(xiàn)了真正意義上的車站自動識別和自動報站。由于在識別和報站過程中,不需要人工干預(yù),減少了駕駛員的操作,也同時提高了車輛運行的安全性。本系統(tǒng)采用pt2262、pt2272無線收發(fā)模塊實現(xiàn)車站識別,isd語音報站,12864漢字液晶顯示。 第一章:總論1.1方案1、 方案:公交車漢字顯示系統(tǒng)設(shè)計2、 功能與指標(biāo)1、當(dāng)公交車快到站時能自動報站,不用司機(jī)手工操作;2、 良好的人機(jī)交互界面,有醒目的漢字界

14、面顯示;3、 語音報站;4、 掉電后依然能保存車站、語音等數(shù)據(jù);5、 兼容手工報站;公交改路線時可方便重新設(shè)定站名和對應(yīng)語音。1.2 方案比較與論證1.2.1對車站的識別方案一:采用gps定位系統(tǒng),對公交車定位,當(dāng)公交車的經(jīng)緯度與車站的經(jīng)緯度相接近時便報站。此方案精度高,穩(wěn)定性好,但gps價高一兩百,且必須先對車站的經(jīng)緯度進(jìn)行精確勘察,其工作量可謂艱巨。方案二:采用現(xiàn)成的無線收發(fā)模塊,價格只需10元左右,雖廉價但穩(wěn)定性極高,距離幾十到幾百米,調(diào)節(jié)方便,能使距離適合報站需要;且發(fā)射模塊上帶有編碼模塊2262,共有531441種編碼,對一個城市來說已夠用。故我們選用方案二。1.2.2控制部分 由于

15、此系統(tǒng)對速度、功耗等無特殊要求,用通用廉價的at89s52完全能勝任,且控制方便,用其他的諸如avr、pic之類則顯得大材小用,浪費不必要花的金錢;所以選擇了at89s52作為控制核心。1.2.3顯示部分 方案一:采用led點陣優(yōu)點:成本低,顯示亮度高,清晰明了。缺點:若要能顯示任意漢字,在電路設(shè)計以及控制方式上相對復(fù)雜。方案二:使用lcd液晶顯示器優(yōu)點:控制簡單,界面友好,顯示的數(shù)據(jù)量大。由于市面上大多數(shù)的lcd液晶顯示器里面多多集成了mcu,使得lcd的控制相當(dāng)簡單。若采用rt12864,還可以顯示四行漢字,給使用者帶來良好的感覺??紤]到這是人機(jī)界面,應(yīng)該顯示友好,故采用方案二1.2.4界

16、面操作方案一:觸摸屏優(yōu)點:操作直觀,人機(jī)界面友好,性價比較高缺點:控制較麻煩,有專門芯片驅(qū)動 方案二:按鍵 優(yōu)點:控制簡單,價格便宜 缺點:操作起來不夠直觀,人性化,必須防抖動考慮到作為商品使用時的成本,故采用方案二1.2.5語音報站方案一:用專門的mp3模塊價格與專門的語音播放芯片相比較高,而且還需外插u盤或sd卡。方案二:采用專門的語音播放芯片isd4004考慮報站需良好音質(zhì),給乘客舒適感覺,我們采用方案二1.3最終選擇方案采用無線收發(fā)模塊對車站進(jìn)行識別。采用at89s52單片機(jī)作為主控制器。采用液晶顯示器12864實現(xiàn)顯示功能。采用按鍵實現(xiàn)界面操作。采用isd語音模塊實現(xiàn)語音報站。1.4

17、系統(tǒng)結(jié)構(gòu)圖系統(tǒng)結(jié)構(gòu)框架圖如下:本系統(tǒng)以at89s52為主控芯片,由液晶顯示系統(tǒng),配合按鍵手工控制部分,isd語音錄放系統(tǒng),電源系統(tǒng)以及無線電發(fā)射接收系統(tǒng)組成。其框架圖如下:系統(tǒng)硬件設(shè)計第二章:各部分電路原理與設(shè)計2.1單片機(jī)主控模塊的設(shè)計2.1.1 簡介本系統(tǒng)中選用atmel公司的at89s52單片機(jī)作為主控制器。atmel公司的at89s52是51內(nèi)核的單片機(jī)。不用燒寫器而只用串口或者并口就可以往單片機(jī)中下載程序。at89s52美國atmel公司生產(chǎn)的at89s52單片機(jī),at89s52是一種低功耗、高性能cmos8位微控制器,具有8k 在系統(tǒng)可編程可擦除只讀存儲器。它采用atmel 公司高

18、密度非易失性存儲器技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的mcs-80c51 產(chǎn)品指令和引腳完全兼容,并有isp(in-system programming在系統(tǒng)編程)管腳,只需要將單片機(jī)的isp引腳接入專用的下載線上就可以實現(xiàn)在系統(tǒng)編程。由于多功能8位cpu和閃爍存儲器組合在單個芯片中,atmel的at89s52是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價廉的方案。下圖是at89s52單片機(jī)的引腳排列圖:2.1.2 主要性能1.與mcs-51單片機(jī)產(chǎn)品兼容2.8k字節(jié)在系統(tǒng)可編程flash存儲器3.1000次擦寫周期4.全靜態(tài)操作:0hz33mhz5.三級加密程序存儲器6.32個可編程i/o

19、口線7.三個16位定時器/計數(shù)器8.八個中斷源9.全雙工uart串行通道10.低功耗空閑和掉電模式2.1.3 主要引腳說明p0 口:p0口是一個8位漏極開路的雙向i/o口。作為輸出口,每位能驅(qū)動8個ttl邏輯電平。對p0端口寫“1”時,引腳用作高阻抗輸入。當(dāng)訪問外部程序和數(shù)據(jù)存儲器時,p0口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下,p0具有內(nèi)部上拉電阻。在flash編程時,p0口也用來接收指令字節(jié);在程序校驗時,輸出指令字節(jié)。程序校驗時,需要外部上拉電阻。p1 口:p1 口是一個具有內(nèi)部上拉電阻的8 位雙向i/o 口,p1 輸出緩沖器能驅(qū)動8個ttl 邏輯電平。對p1 端口寫“1”時,內(nèi)部上

20、拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(iil)。此外,p1.0和p1.2分別作定時器/計數(shù)器2的外計數(shù)輸入(p1.0/t2)和時器/計數(shù)器2的觸發(fā)輸入(p1.1/t2ex)。p2 口:p2 口是一個具有內(nèi)部上拉電阻的8 位雙向i/o 口,p2 輸出緩沖器能驅(qū)動4 個ttl 邏輯電平。對p2 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(iil)。在訪問外部程序存儲器或用16位地址讀取外部數(shù)據(jù)存儲器(例如執(zhí)行movx dptr)時,p2 口送出高八

21、位地址。在這種應(yīng)用中,p2 口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。在使用8位地址(如movx ri)訪問外部數(shù)據(jù)存儲器時,p2口輸出p2鎖存器的內(nèi)容。在flash編程和校驗時,p2口也接收高8位地址字節(jié)和一些控制信號。p3 口:p3 口是一個具有內(nèi)部上拉電阻的8 位雙向i/o 口,p2 輸出緩沖器能驅(qū)動4 個ttl 邏輯電平。對p3 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(iil)。p3口亦作為at89s52特殊功能(第二功能)使用,如下表所示。在flash編程和校驗時,p3口也接收一些控制信號。rst:復(fù)位輸入。晶振

22、工作時,rst腳持續(xù)2 個機(jī)器周期高電平將使單片機(jī)復(fù)位。看門狗計時完成后,rst 腳輸出96 個晶振周期的高電平。特殊寄存器auxr(地址8eh)上的disrto位可以使此功能無效。disrto默認(rèn)狀態(tài)下,復(fù)位高電平有效。下圖為單片機(jī)復(fù)位引腳外部接線:ale:址鎖存控制信號(ale)是訪問外部程序存儲器時,鎖存低8 位地址輸出脈沖。在flash編程時,此引腳(prog)也用作編程輸入脈沖。在一般情況下,ale 以晶振六分之一的固定頻率輸出脈沖,可用來作為外部定時器或時鐘使用。然而,特別強(qiáng)調(diào),在每次訪問外部數(shù)據(jù)存儲器時,ale脈沖將會跳過。如果需要,通過將地址為8eh的sfr的第0位置 “1”,

23、ale操作將無效。這一位置 “1”,ale 僅在執(zhí)行movx 或movc指令時有效。否則,ale 將被微弱拉高。這個ale 使能標(biāo)志位(地址為8eh的sfr的第0位)的設(shè)置對微控制器處于外部執(zhí)行模式下無效。psen:外部程序存儲器選通信號(psen)是外部程序 存儲器選通信號。當(dāng)at89s52從外部程序存儲器執(zhí)行外部代碼時,psen在每個機(jī)器周期被激活兩次,而在訪問外部數(shù)據(jù)存儲器時,psen將不被激活。ea:訪問外部程序存儲器控制信號。為使能從0000h 到ffffh的外部程序存儲器讀取指令,ea必須接gnd。為了執(zhí)行內(nèi)部程序指令,ea應(yīng)該接vcc。在flash編程期間,ea也接收12伏vpp

24、電壓。xtal1:振蕩器反相放大器和內(nèi)部時鐘發(fā)生電路的輸入端。xtal2:振蕩器反相放大器的輸出端。下圖為單片機(jī)外接晶振接法:2.2無線收發(fā)模塊無線模塊包括無線編碼芯片pt2262,無線解碼芯片pt2272、df無線發(fā)射模塊和無線接收模塊。2.2.1編碼解碼芯片pt2262/pt2272芯片原理簡介pt2262/2272是臺灣普城公司生產(chǎn)的一種cmos工藝制造的低功耗低價位通用編解碼電路,pt2262/2272最多可有12位(a0-a11)三態(tài)地址端管腳(懸空,接高電平,接低電平),任意組合可提供531441地址碼,pt2262最多可有6位(d0-d5)數(shù)據(jù)端管腳,設(shè)定的地址碼和數(shù)據(jù)碼從17腳

25、串行輸出,可用于無線遙控發(fā)射電路。編碼芯片pt2262發(fā)出的編碼信號由:地址碼、數(shù)據(jù)碼、同步碼組成一個完整的碼字,解碼芯片pt2272接收到信號后,其地址碼經(jīng)過兩次比較核對后,vt腳才輸出高電平,與此同時相應(yīng)的數(shù)據(jù)腳也輸出高電平,如果發(fā)送端一直按住按鍵,編碼芯片也會連續(xù)發(fā)射。當(dāng)發(fā)射機(jī)沒有按鍵按下時,pt2262不接通電源,其17腳為低電平,所以315mhz的高頻發(fā)射電路不工作,當(dāng)有按鍵按下時,pt2262得電工作,其第17腳輸出經(jīng)調(diào)制的串行數(shù)據(jù)信號,當(dāng)17腳為高電平期間315mhz的高頻發(fā)射電路起振并發(fā)射等幅高頻信號,當(dāng)17腳為低平期間315mhz的高頻發(fā)射電路停止振蕩,所以高頻發(fā)射電路完全收

26、控于pt2262的17腳輸出的數(shù)字信號,從而對高頻電路完成幅度鍵控(ask調(diào)制)相當(dāng)于調(diào)制度為100的調(diào)幅。pt2262/2272特點:cmos工藝制造,低功耗,外部元器件少,rc振蕩電阻,工作電壓范圍寬:2.615v,數(shù)據(jù)最多可達(dá)6位,地址碼最多可達(dá)531441種。應(yīng)用范圍:車輛防盜系統(tǒng)、家庭防盜系統(tǒng)、遙控玩具、其他電器遙控。pt2262: 名稱管腳說 明a0-a111-8、10-13地址管腳,用于進(jìn)行地址編碼,可置為“0”,“1”,“f”(懸空),d0-d57-8、10-13數(shù)據(jù)輸入端,有一個為“1”即有編碼發(fā)出,內(nèi)部下拉vcc18電源正端()vss9電源負(fù)端()te14編碼啟動端,用于多

27、數(shù)據(jù)的編碼發(fā)射,低電平有效;osc116振蕩電阻輸入端,與osc2所接電阻決定振蕩頻率;osc215振蕩電阻振蕩器輸出端;dout17編碼輸出端(正常時為低電平)在具體的應(yīng)用中,外接振蕩電阻可根據(jù)需要進(jìn)行適當(dāng)?shù)恼{(diào)節(jié),阻值越大振蕩頻率越慢,編碼的寬度越大,發(fā)碼一幀的時間越長。網(wǎng)站上大部分產(chǎn)品都是用2262/1.2m2272/200k組合的,少量產(chǎn)品用2262/4.7m2272/820k。極限參數(shù)(ta=25攝氏度)參數(shù)符號參數(shù)范圍單位電源電壓vcc2150v輸入電壓v1-0.3 vcc+0.3v輸出電壓v0-0.3 vcc+0.3v最大功耗pa300mw工作溫度topr-2070c貯存溫度tst

28、g-40125c電氣參數(shù)(除非特殊說明 tamb=25攝氏度,vdd=12v)參數(shù)符號測試條件最小值典型值最大值單位電源電壓vcc212v電源電流iccvcc=12v振蕩器停振a0-a11開路0.020.3uadout輸出驅(qū)動電流iohvcc=5v,voh=3v-3madout輸出線電流iolvcc=5v, voh=3v2mapt2272:地址碼和數(shù)據(jù)碼都用寬度不同的脈沖來表示,兩個窄脈沖表示“0”;兩個寬脈沖表示“1”;一個窄脈沖和一個寬脈沖表示“f”也就是地址碼的“懸空”。上面是我們從超再生接收模塊信號輸出腳上截獲的一段波形,可以明顯看到,圖上半部分是一組一組的字碼,每組字碼之間有同步碼隔

29、開,所以我們?nèi)绻脝纹瑱C(jī)軟件解碼時,程序只要判斷出同步碼,然后對后面的字碼進(jìn)行脈沖寬度識別即可。圖下部分是放大的一組字碼:一個字碼由12位ad碼(地址碼加數(shù)據(jù)碼,比如8位地址碼加4位數(shù)據(jù)碼)組成,每個ad位用兩個脈沖來代表:兩個窄脈沖表示“0”;兩個寬脈沖表示“1”;一個窄脈沖和一個寬脈沖表示“f”也就是地址碼的“懸空”.2262每次發(fā)射時至少發(fā)射4組字碼,2272只有在連續(xù)兩次檢測到相同的地址碼加數(shù)據(jù)碼才會把數(shù)據(jù)碼中的“1”驅(qū)動相應(yīng)的數(shù)據(jù)輸出端為高電平和驅(qū)動vt端同步為高電平。因為無線發(fā)射的特點,第一組字碼非常容易受零電平干擾,往往會產(chǎn)生誤碼,所以程序可以丟棄處理。pt2272解碼芯片有不同

30、的后綴,表示不同的功能,有l(wèi)4/m4/l6/m6之分,其中l(wèi)表示鎖存輸出,數(shù)據(jù)只要成功接收就能一直保持對應(yīng)的電平狀態(tài),直到下次遙控數(shù)據(jù)發(fā)生變化時改變。m表示非鎖存輸出,數(shù)據(jù)腳輸出的電平是瞬時的而且和發(fā)射端是否發(fā)射相對應(yīng),可以用于類似點動的控制。后綴的6和4表示有幾路并行的控制通道,當(dāng)采用4路并行數(shù)據(jù)時(pt2272-m4),對應(yīng)的地址編碼應(yīng)該是8位,如果采用6路的并行數(shù)據(jù)時(pt2272-m6),對應(yīng)的地址編碼應(yīng)該是6位。2.2.2 pt2262/2272芯片的地址編碼設(shè)定和修改在通常使用中,我們一般采用8位地址碼和4位數(shù)據(jù)碼,這時編碼電路pt2262和解碼pt2272的第18腳為地址設(shè)定腳,

31、有三種狀態(tài)可供選擇:懸空、接正電源、接地三種狀態(tài),3的8次方為6561,所以地址編碼不重復(fù)度為6561組,只有發(fā)射端pt2262和接收端pt2272的地址編碼完全相同,才能配對使用,遙控模塊的生產(chǎn)廠家為了便于生產(chǎn)管理,出廠時遙控模塊的pt2262和pt2272的八位地址編碼端全部懸空,這樣用戶可以很方便選擇各種編碼狀態(tài),用戶如果想改變地址編碼,只要將pt2262和pt2272的18腳設(shè)置相同即可,例如將發(fā)射機(jī)的pt2262的第1腳接地第5腳接正電源,其它引腳懸空,那么接收機(jī)的pt2272只要也第1腳接地第5腳接正電源,其它引腳懸空就能實現(xiàn)配對接收。當(dāng)兩者地址編碼完全一致時,接收機(jī)對應(yīng)的d1d4

32、端輸出約4v互鎖高電平控制信號,同時vt端也輸出解碼有效高電平信號。用戶可將這些信號加一級放大,便可驅(qū)動繼電器、功率三極管等進(jìn)行負(fù)載遙控開關(guān)操縱。設(shè)置地址碼的原則是:同一個系統(tǒng)地址碼必須一致;不同的系統(tǒng)可以依靠不同的地址碼加以區(qū)分。至于設(shè)置什么樣的地址碼完全隨客戶喜歡。 pt2262和pt2272除地址編碼必須完全一致外,振蕩電阻還必須匹配,否則接收距離會變近甚至無法接收,隨著技術(shù)的發(fā)展市場上出現(xiàn)一批兼容芯片,在實際使用中只要對振蕩電阻稍做改動就能配套使用,根據(jù)我們網(wǎng)站的實際使用經(jīng)驗,下面的參數(shù)匹配效果較好:編碼發(fā)射芯片編碼接收芯片pt2262pt2260sc2260sc2262cs5211p

33、t2272/sc2272/cs52121.2m無3.3m1.1m1.3m200k1.5m無4.3m1.4m1.6m270k2.2m無6.2m2m2.4m390k3.3m無9.1m3m3.6m680k4.7m1.2m12m4.3m5.1m820k2.2.3 df發(fā)射模塊圖一df發(fā)射模塊等效電路主要技術(shù)指標(biāo):1.通訊方式:調(diào)幅am2.工作頻率:315mhz 3.頻率穩(wěn)定度:±75khz4.發(fā)射功率:500mw5.靜態(tài)電流:0.1ua6.發(fā)射電流:350ma7.工作電壓:dc 312vdf數(shù)據(jù)發(fā)射模塊的工作頻率為315m,采用聲表諧振器saw穩(wěn)頻,頻率穩(wěn)定 度極高,當(dāng)環(huán)境溫度在2585度之

34、間變化時,頻飄僅為3ppm/度。特別適合多發(fā)一收無線遙控及數(shù)據(jù)傳輸系統(tǒng)。聲表諧振器的頻率穩(wěn)定度僅次于晶體, 而一般的lc振蕩器頻率穩(wěn)定度及一致性較差,即使采用高品質(zhì)微調(diào)電容,溫差變化及振動也很難保證已調(diào)好的頻點不會發(fā)生偏移。 df發(fā)射模塊未設(shè)編碼集成電路,而增加了一只數(shù)據(jù)調(diào)制三極管q1,這種結(jié)構(gòu)使得它可以方便地和其它固定編碼電路、滾動碼電路及單片機(jī)接口,而不必考慮編碼電路的工作電壓和輸出幅度信號值的大小。比如用pt2262等編碼集成電路配接時,直接將它們的數(shù)據(jù)輸出端第17腳接至df數(shù)據(jù)模塊的輸入端即可。df數(shù)據(jù)模塊具有較寬的工作電壓范圍312v,當(dāng)電壓變化時發(fā)射頻率基本不變,和發(fā)射模塊配套的接

35、收模塊無需任何調(diào)整就能穩(wěn)定地接收。當(dāng)發(fā)射電壓為3v時,空曠地傳輸距離約2050米,發(fā)射功率較小,當(dāng)電壓5v時約100200米,當(dāng)電壓9v時約300500米,當(dāng)發(fā)射電壓為12v時,為最佳工作電壓,具有較好的發(fā)射效果,發(fā)射電流約60毫安,空曠地傳輸距離700800米,發(fā)射功率約500毫瓦。當(dāng)電壓大于l2v時功耗增大,有效發(fā)射功率不再明顯提高。這套模塊的特點是發(fā)射功率比較大,傳輸距離比較遠(yuǎn),比較適合惡劣條件下進(jìn)行通訊。天線最好選用25厘米長的導(dǎo)線,遠(yuǎn)距離傳輸時最好能夠豎立起來,因為無線電信號傳輸時收很多因素的影響,所以一般實用距離只有標(biāo)稱距離的20甚至更少,這點需要在開發(fā)時注意考慮。 df數(shù)據(jù)模塊采

36、用ask方式調(diào)制,以降低功耗,當(dāng)數(shù)據(jù)信號停止時發(fā)射電流降為零,數(shù)據(jù)信號與df發(fā)射模塊輸入端可以用電阻或者直接連接而不能用電容耦合,否則df發(fā)射模塊將不能正常工作。數(shù)據(jù)電平應(yīng)接近df數(shù)據(jù)模塊的實際工作電壓,以獲得較高的調(diào)制效果。 df發(fā)射發(fā)射模塊最好能垂直安裝在主板的邊緣,應(yīng)離開周圍器件5mm以上,以免受分布參數(shù)影晌。df模塊的傳輸距離與調(diào)制信號頻率及幅度,發(fā)射電壓及電池容量,發(fā)射天線,接收機(jī)的靈敏度,收發(fā)環(huán)境有關(guān)。一般在開闊區(qū)最大發(fā)射距離約800米,在有障礙的情況下,距離會縮短,由于無線電信號傳輸過程中的折射和反射會形成一些死區(qū)及不穩(wěn)定區(qū)域,不同的收發(fā)環(huán)境會有不同的收發(fā)距離。圖為df超再生接收

37、模塊等效電路主要技術(shù)指標(biāo):1.通訊方式:調(diào)幅am2.工作頻率:315mhz3.頻率穩(wěn)定度:±200khz4.接收靈敏度:106dbm5.靜態(tài)電流:5ma6.工作電流:5ma7.工作電壓:dc 5v8.輸出方式:ttl電平df接收模塊的工作電壓為5伏,靜態(tài)電流4毫安,它為超再生接收電路,接收靈敏度為105dbm,接收天線最好為2530厘米的導(dǎo)線,最好能 豎立起來。接收模塊本身不帶解碼集成電路,因此接收電路僅是一種組件,只有應(yīng)用在具體電路中進(jìn)行二次開發(fā)才能發(fā)揮應(yīng)有的作用,這種設(shè)計有很多優(yōu)點,它可以 和各種解碼電路或者單片機(jī)配合,設(shè)計電路靈活方便。這種電路的優(yōu)點在于: 1.天線輸入端有選頻

38、電路,而不依賴1/4波長天線的選頻作用,控制距離較近時可以剪短甚至去掉外接天線 2.輸出端的波形相對比較干凈,干擾信號為短暫的針狀脈沖,所以抗干擾能力較強(qiáng)。 3.df模塊自身輻射極小,加上電路模塊背面網(wǎng)狀接地銅箔的屏蔽作用,可以減少自身振蕩的泄漏和外界干擾信號的侵入。 4.采用帶骨架的銅芯電感將頻率調(diào)整到315m后封固,這與采用可調(diào)電容調(diào)整接收頻率的電路相比,溫度、濕度穩(wěn)定性及抗機(jī)械振動性能都有極大改善??烧{(diào)電容調(diào)整精度較低,只有3/4圈的調(diào)整范圍,而可調(diào)電感可以做到多圈調(diào)整。可調(diào)電容調(diào)整完畢后無法封固,因為無論導(dǎo)體還是絕緣體,各種介質(zhì)的靠近或侵入都會使電容的容量發(fā)生變化,進(jìn)而影響接收頻率。另

39、外未經(jīng)封固的可調(diào)電容在受到振動時定片和動片之間發(fā)生位移;溫度變化時熱脹冷縮會使定片和動片間距離改變;濕度變化因介質(zhì)變化改變?nèi)萘?;長期工作在潮濕環(huán)境中還會因定片和動片的氧化改變?nèi)萘浚@些都會嚴(yán)重影響接收頻率的穩(wěn)定性,而采用可調(diào)電感就可解決這些問題,因為電感可以在調(diào)整完畢后進(jìn)行封固,絕緣體封固劑不會使電感量發(fā)生變化。以下為本系統(tǒng)中發(fā)射部分電路原理設(shè)計:以下為系統(tǒng)中接受部分原理設(shè)計:2.3 12864液晶顯示部分2.3.1 概述帶中文字庫的128x64是一種具有4位/8位并行、2線或3線串行多種接口方式,內(nèi)部含有國標(biāo)一級、二級簡體中文字庫的點陣圖形液晶顯示模塊;其顯示分辨率為128×64,

40、 內(nèi)置8192個16*16點漢字,和128個16*8點ascii字符集.利用該模塊靈活的接口方式和簡單、方便的操作指令,可構(gòu)成全中文人機(jī)交互圖形界面??梢燥@示8×4行16×16點陣的漢字. 也可完成圖形顯示.低電壓低功耗是其又一顯著特點。由該模塊構(gòu)成的液晶顯示方案與同類型的圖形點陣液晶顯示模塊相比,不論硬件電路結(jié)構(gòu)或顯示程序都要簡潔得多,且該模塊的價格也略低于相同點陣的圖形液晶模塊?;咎匦?低電源電壓(vdd:+3.0-+5.5v)顯示分辨率:128×64點內(nèi)置漢字字庫,提供8192個16×16點陣漢字(簡繁體可選)內(nèi)置 128個16×8點陣

41、字符2mhz時鐘頻率顯示方式:stn、半透、正顯 驅(qū)動方式:1/32duty,1/5bias視角方向:6點背光方式:側(cè)部高亮白色led,功耗僅為普通led的1/51/10通訊方式:串行、并口可選內(nèi)置dc-dc轉(zhuǎn)換電路,無需外加負(fù)壓無需片選信號,簡化軟件設(shè)計工作溫度: 0 - +55 ,存儲溫度: -20 - +60 串行接口管腳信號:管腳號名稱level功能1vss0v電源地2vdd+5v電源正(3.0v-5.5v)3v0-對比度(亮度調(diào)整)4csh/l模組片選端,高電平有效5sidh/l串行數(shù)據(jù)輸入端6clkh/l穿行同步時鐘:上升沿時讀取sid數(shù)據(jù)15psbll:串行方式(見注釋1)17/

42、reseth/l復(fù)位端,低電平有效(見注釋2)19avdd背光源電壓+5v(見注釋3)20kvss背光源負(fù)端0v(見注釋3)*注釋1:如在實際應(yīng)用中僅使用串口通訊模式,可將psb接固定低電平,也可以將模塊上的j8和“gnd”用焊錫短接。*注釋2:模塊內(nèi)部接有上電復(fù)位電路,因此在不需要經(jīng)常復(fù)位的場合可將該端懸空。*注釋3:如背光和模塊共用一個電源,可以將模塊上的ja、jk用焊錫短接。管腳號管腳名稱電平管腳功能描述1vss0v電源地2vcc3.0+5v電源正3v0-對比度(亮度)調(diào)整4rs(cs)h/lrs=“h”,表示db7db0為顯示數(shù)據(jù),rs=“l(fā)”,表示db7db0為顯示指令數(shù)據(jù)5r/w(

43、sid)h/lr/w=“h”,e=“h”,數(shù)據(jù)被讀到db7db0,r/w=“l(fā)”,e=“hl”, db7db0的數(shù)據(jù)被寫到ir或dr6e(sclk)h/l使能信號7db0h/l三態(tài)數(shù)據(jù)線8db1h/l三態(tài)數(shù)據(jù)線9db2h/l三態(tài)數(shù)據(jù)線10db3h/l三態(tài)數(shù)據(jù)線11db4h/l三態(tài)數(shù)據(jù)線12db5h/l三態(tài)數(shù)據(jù)線13db6h/l三態(tài)數(shù)據(jù)線14db7h/l三態(tài)數(shù)據(jù)線15psbh/lh:8位或4位并口方式,l:串口方式(見注釋1)16nc-空腳17/reseth/l復(fù)位端,低電平有效(見注釋2)18vout-lcd驅(qū)動電壓輸出端19avdd背光源正端(+5v)(見注釋3)20kvss背光源負(fù)端(見注

44、釋3)*注釋1:如在實際應(yīng)用中僅使用并口通訊模式,可將psb接固定高電平,也可以將模塊上的j8和“vcc”用焊錫短接。*注釋2:模塊內(nèi)部接有上電復(fù)位電路,因此在不需要經(jīng)常復(fù)位的場合可將該端懸空。 *注釋3:如背光和模塊共用一個電源,可以將模塊上的ja、jk用焊錫短接。2.3.2模塊主要硬件構(gòu)成說明控制器接口信號說明:1.rs,r/w的配合選擇決定控制界面的4種模式:rsr/w功能說明llmpu寫指令到指令暫存器(ir)lh讀出忙標(biāo)志(bf)及地址記數(shù)器(ac)的狀態(tài)hlmpu寫入數(shù)據(jù)到數(shù)據(jù)暫存器(dr)hhmpu從數(shù)據(jù)暫存器(dr)中讀出數(shù)據(jù)2.e信號e狀態(tài)執(zhí)行動作結(jié)果高>低i/o緩沖&

45、gt;dr配合/w進(jìn)行寫數(shù)據(jù)或指令高dr>i/o緩沖配合r進(jìn)行讀數(shù)據(jù)或指令低/低>高無動作1.忙標(biāo)志:bf bf標(biāo)志提供內(nèi)部工作情況.bf=1表示模塊在進(jìn)行內(nèi)部操作,此時模塊不接受外部指令和數(shù)據(jù).bf=0時,模塊為準(zhǔn)備狀態(tài),隨時可接受外部指令和數(shù)據(jù).利用status rd 指令,可以將bf讀到db7總線,從而檢驗?zāi)K之工作狀態(tài).2.字型產(chǎn)生rom(cgrom)字型產(chǎn)生rom(cgrom)提供8192個此觸發(fā)器是用于模塊屏幕顯示開和關(guān)的控制。dff=1為開顯示(display on),ddram 的內(nèi)容就顯示在屏幕上,dff=0為關(guān)顯示(display off)。dff 的狀態(tài)是指令

46、display on/off和rst信號控制的。3.顯示數(shù)據(jù)ram(ddram)模塊內(nèi)部顯示數(shù)據(jù)ram提供64×2個位元組的空間,最多可控制4行16字(64個字)的中文字型顯示,當(dāng)寫入顯示數(shù)據(jù)ram時,可分別顯示cgrom與cgram的字型;此模塊可顯示三種字型,分別是半角英數(shù)字型(16*8)、cgram字型及cgrom的中文字型,三種字型的選擇,由在ddram中寫入的編碼選擇,在0000h0006h的編碼中(其代碼分別是0000、0002、0004、0006共4個)將選擇cgram的自定義字型,02h7fh的編碼中將選擇半角英數(shù)字的字型,至于a1以上的編碼將自動的結(jié)合下一個位元組,

47、組成兩個位元組的編碼形成中文字型的編碼big5(a140d75f),gb(a1a0-f7ffh)。 4.字型產(chǎn)生ram(cgram) 字型產(chǎn)生ram提供圖象定義(造字)功能, 可以提供四組16×16點的自定義圖象空間,使用者可以將內(nèi)部字型沒有提供的圖象字型自行定義到cgram中,便可和cgrom中的定義一樣地通過ddram顯示在屏幕中。5.地址計數(shù)器ac地址計數(shù)器是用來貯存ddram/cgram之一的地址,它可由設(shè)定指令暫存器來改變,之后只要讀取或是寫入ddram/cgram的值時,地址計數(shù)器的值就會自動加一,當(dāng)rs為“0”時而r/w為“1”時,地址計數(shù)器的值會被讀取到db6db0中

48、。6.光標(biāo)/閃爍控制電路,模塊提供硬體光標(biāo)及閃爍控制電路,由地址計數(shù)器的值來指定ddram中的光標(biāo)或閃爍位置。2.3.3 指令說明模塊控制芯片提供兩套控制命令,基本指令和擴(kuò)充指令如下:指令表1:(re=0:基本指令)指令 指 令 碼功 能rsr/wd7d6d5d4d3d2d1d0 清除顯示0000000001將ddram填滿"20h",并且設(shè)定ddram的地址計數(shù)器(ac)到"00h"地址歸位000000001x設(shè)定ddram的地址計數(shù)器(ac)到"00h",并且將游標(biāo)移到開頭原點位置;這個指令不改變ddram 的內(nèi)容顯示狀態(tài)開/關(guān)0

49、000001dcbd=1: 整體顯示 onc=1: 游標(biāo)on b=1:游標(biāo)位置反白允許進(jìn)入點設(shè)定00000001i/ds指定在數(shù)據(jù)的讀取與寫入時,設(shè)定游標(biāo)的移動方向及指定顯示的移位游標(biāo)或移位控制000001s/cr/lxx設(shè)定游標(biāo)的移動與顯示的移位控制位;這個指令不改變ddram 的內(nèi)容功能設(shè)定00001dlxrexxdl=0/1:4/8位數(shù)據(jù)re=1: 擴(kuò)充指令操作re=0: 基本指令操作設(shè)定cgra地址0001ac5ac4ac3ac2ac1ac0設(shè)定cgram 地址設(shè)定ddram地址0010a5a4ac3ac2ac1ac0設(shè)定ddram 地址(顯示位址)第一行:80h87h第二行:90h9

50、7h讀取忙標(biāo)志和地址01bfac6ac5ac4ac3ac2ac1ac0讀取忙標(biāo)志(bf)可以確認(rèn)內(nèi)部動作是否完成,同時可以讀出地址計數(shù)器(ac)的值寫數(shù)據(jù)到ram10數(shù)據(jù)將數(shù)據(jù)d7d0寫入到內(nèi)部的ram (ddram/cgram/iram/gram)讀出ram的值11數(shù)據(jù)從內(nèi)部ram讀取數(shù)據(jù)d7d0(ddram/cgram/iram/gram)指令表2:(re=1:擴(kuò)充指令)指令 指 令 碼功 能rsr/wd7d6d5d4d3d2d1d0 待命模式0000000001進(jìn)入待命模式,執(zhí)行其他指令都棵終止待命模式卷動地址開關(guān)開啟000000001srsr=1:允許輸入垂直卷動地址sr=0:允許輸入

51、iram和cgram地址反白選擇00000001r1r0選擇2行中的任一行作反白顯示,并可決定反白與否。初始值r1r000,第一次設(shè)定為反白顯示,再次設(shè)定變回正常睡眠模式0000001slxxsl=0:進(jìn)入睡眠模式sl=1:脫離睡眠模式擴(kuò)充功能設(shè)定00001clxreg0cl=0/1:4/8位數(shù)據(jù)re=1: 擴(kuò)充指令操作re=0: 基本指令操作g=1/0:繪圖開關(guān)設(shè)定繪圖ram地址0010ac60ac50ac4ac3ac3ac2ac2ac1ac1ac0ac0設(shè)定繪圖ram先設(shè)定垂直(列)地址ac6ac5ac0再設(shè)定水平(行)地址ac3ac2ac1ac0將以上16位地址連續(xù)寫入即可備注;當(dāng)ic1

52、在接受指令前,微處理器必須先確認(rèn)其內(nèi)部處于非忙碌狀態(tài),即讀取bf標(biāo)志時,bf需為零,方可接受新的指令;如果在送出一個指令前并不檢查bf標(biāo)志,那么在前一個指令和這個指令中間必須延長一段較長的時間,即是等待前一個指令確實執(zhí)行完成。應(yīng)用舉例:1.使用前的準(zhǔn)備先給模塊加上工作電壓,再按照下圖的連接方法調(diào)節(jié)lcd的對比度,使其顯示出黑色的底影。此過程亦可以初步檢測lcd有無缺段現(xiàn)象。2.字符顯示帶中文字庫的128x64-0402b每屏可顯示4行8列共32個16×16點陣的漢字,每個顯示ram可顯示1個中文字符或2個16×8點陣全高ascii碼字符,即每屏最多可實現(xiàn)32個中文字符或64

53、個ascii碼字符的顯示。帶中文字庫的128x64-0402b內(nèi)部提供128×2字節(jié)的字符顯示ram緩沖區(qū)(ddram)。字符顯示是通過將字符顯示編碼寫入該字符顯示ram實現(xiàn)的。根據(jù)寫入內(nèi)容的不同,可分別在液晶屏上顯示cgrom(中文字庫)、hcgrom(ascii碼字庫)及cgram(自定義字形)的內(nèi)容。三種不同字符/字型的選擇編碼范圍為:00000006h(其代碼分別是0000、0002、0004、0006共4個)顯示自定義字型,02h7fh顯示半寬ascii碼字符,a1a0hf7ffh顯示8192種gb2312中文字庫字形。字符顯示ram在液晶模塊中的地址80h9fh。字符顯示的ram的地址與32個字符顯示區(qū)域有著一一對應(yīng)的關(guān)系,其對應(yīng)關(guān)系如下表所示。80h81h82h83h84h85h86h87h90h91h92h93h94h95h96h97h88h89h8ah8bh8ch8dh8eh8fh98h99h9ah9bh9ch9dh9eh9fh3.圖形顯示 先設(shè)垂直地址再設(shè)水平地址(連續(xù)寫入兩個字節(jié)的資料來完成垂直與水平的坐標(biāo)地址)垂直地址范圍 ac5.ac0水平地址范圍 ac3ac0繪圖ra

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論