趙嘉妮數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計_第1頁
趙嘉妮數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計_第2頁
趙嘉妮數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計_第3頁
趙嘉妮數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計_第4頁
趙嘉妮數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計_第5頁
已閱讀5頁,還剩13頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、湖南文理學院芙蓉學院課程設(shè)計報告課程名稱: 電子技術(shù)課程設(shè)計 專業(yè)班級: 芙蓉通信工程0901班 學生姓名: 趙 妮 指導教師: 伍 宗 富 完成時間: 2011年6月25日 報告成績: 評閱意見: 評閱教師 日期 教學工作部制題目二 數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計 一、任務(wù) 設(shè)計制作一個用于8路模擬信號采集與單向傳輸系統(tǒng)。系統(tǒng)方框圖參見圖1。 二、要求 1基本要求 (1)被測電壓為8路05V分別可調(diào)的直流電壓。系統(tǒng)具有在發(fā)送端設(shè)定8路順序循環(huán)采集與指定某一路采集的功能。 (2)采用8位A/D變換器。 (3)采用3dB帶寬為30kHz50kHz的帶通濾波器(帶外衰減優(yōu)于35dB/十倍頻程)作為模擬信道

2、。 (4)調(diào)制器輸出的信號峰-峰值vsp-p為01V可變,碼元速率16k波特(碼元/秒);制作一個時鐘頻率可變的測試碼發(fā)生器(如0101碼等),用于測試傳輸速率。 (5)在接收端具有顯示功能,要求顯示被測路數(shù)和被測電壓值。 2發(fā)揮部分 (1)設(shè)計制作一個用偽隨機碼形成的噪聲模擬發(fā)生器,偽隨機碼時鐘頻率為96kHz,周期為127位碼元,生成多項式采用 。其輸出峰-峰值 為01V連續(xù)可調(diào)。 (2)設(shè)計一個加法電路,將調(diào)制器輸出 與噪聲電壓 相加送入模擬信道。在解調(diào)器輸入端測量信號與噪聲峰-峰值之比( ),當其比值分別為1、3、5時,進行誤碼測試。測試方法:在8路順序循環(huán)采集模式下,監(jiān)視某一路的顯示

3、,檢查接收數(shù)據(jù)的誤碼情況,監(jiān)視時間為1分鐘。 (3)在( )=3時,盡量提高傳輸速率,用上述第(2)項的測試方法,檢查接收數(shù)據(jù)的誤碼情況。 (4)其它(如自制用來定量測量系統(tǒng)誤碼的簡易誤碼率測試儀,其方框圖見圖2。 數(shù)據(jù)采集與傳輸系統(tǒng)設(shè)計 通信工程專業(yè)學生:趙妮 指導教師:伍宗富老師(湖南文理學院 電氣與信息工程學院,湖南 常德 415000)摘 要:為實現(xiàn)8路數(shù)據(jù)的采集和單向傳輸,在發(fā)送端和接收端個用一片可以精確設(shè)定波特率的89C52單片機。控制數(shù)據(jù)采集、通信和結(jié)果顯示;通信方式為FSK調(diào)制,鎖相解調(diào);為提高通信可靠新,采用二維奇偶校驗碼和連續(xù)發(fā)送/三中取二接收。此外,在軟件中進行了功能擴展

4、,用戶可以通過鍵盤操作實現(xiàn)數(shù)據(jù)通道的切換和精確的波特率分檔,使整個系統(tǒng)控制更趨向于智能化。Abstract: In order to achieve the 8-channel data acquisition and one-way transmission, the transmitter and a receiver can be precisely set with a baud rate of the 89C52 microcontroller. Control data acquisition, communication, and results; means of commun

5、ication for the FSK modulation, phase-locked demodulation; to improve the communication reliability of new, two-dimensional parity code and continuous transmission / reception Third take the two. In addition, the software for the extensions, users can access data through the keyboard switching and p

6、recise baud rate tranches, so that the whole system control tend to be more intelligent.1 系統(tǒng)結(jié)構(gòu)設(shè)計1.1:八路模擬信號的產(chǎn)生與A/D轉(zhuǎn)換器。1.2:發(fā)送端得采集與通訊控制器。1.3:二進制數(shù)字調(diào)制器。1.4:解調(diào)器1.5:3dB帶寬30-50HZ的帶通濾波器作為模擬通道。1.6:時鐘頻率可變的測試碼發(fā)生器。1.7:接收端采集結(jié)果顯示電路。1.8用偽隨機碼形成的噪聲模擬發(fā)生器。2.9加法電路。3.0通信編碼與軟件糾錯。2 系統(tǒng)硬件設(shè)計2.1 八路模擬信號的產(chǎn)生與A/D轉(zhuǎn)換 被測電壓為05V通過電位器調(diào)節(jié)

7、的直流電壓,A/D變換器采用專業(yè)芯片ADC0809,分辨率為8位,最大不可調(diào)誤差小于±11.SB。2.2發(fā)送端得采集與通訊控制器: 用單片機作為這一控制系統(tǒng)的核心,接受來自ADC0809的數(shù)據(jù),并利用單片機內(nèi)置的專用串行通信電路將數(shù)據(jù)進行并串轉(zhuǎn)換后輸出至調(diào)制器,單片機通過接口芯片與鍵盤相連,由鍵盤控制采集方式是循環(huán)采集或選擇采集,同時也可以利用鍵盤進行其他擴展功能的切換,此外,為便于通道監(jiān)視和誤碼率測試,在發(fā)送端擴展了采集數(shù)據(jù)的顯示功能,在單片機的選擇方面,考慮到題目基本要求碼元速率為16K波特,發(fā)揮部分要求盡量提高傳輸速率,因此,單片機的串口應(yīng)可以比較精確的設(shè)定波特率,且波特率可變

8、,若采用89C51單片機,由內(nèi)部定時器作為波特率發(fā)生器,其變化受限,不夠靈活,16K波特以上只有約30K波特一檔,步進過大。而89C52單片機內(nèi)置專門的波特率發(fā)生器,可以以較小的步進精確設(shè)定波特率,一方面滿足了題目的要求,另一方面也便于在發(fā)揮部分進一步提高波特率。2.3 二進制數(shù)字調(diào)制器: 常用的二進制數(shù)字調(diào)制方法有,對截波振幅調(diào)制的振幅鍵控(ASK),對截波頻率調(diào)制的移動鍵控(FSK)和對截波和位調(diào)制的相移鍵控(PSK),這幾種調(diào)制方法比較:首先從頻帶利用率來說,ASK和PSK都是2B(B為被調(diào)制基帶信號的帶寬),F(xiàn)SK則相對大一些,要2B+|f1-f2|,其中,f1、f2為FSK的兩個截波

9、頻率,從誤比特率來看,PSK的誤比特率在相同噪比的情況下,要比FSK和ASK低3DB,這樣看來,用PSK應(yīng)該是最好的,能夠達到最好的性能,但是PSK有相位模糊問題。這樣一來在解調(diào)端還要進行差分碼的譯碼,不僅電路上更加復雜,而且差分譯碼時會引起誤碼擴散,導致誤碼率上升,F(xiàn)SK有一種特殊情況,就是當(f1=f2)=n(1/2)比特率,能夠產(chǎn)生一種恒定包絡(luò),連續(xù)相位的調(diào)制信號MSK,它的優(yōu)點是能量主要集中在頻率的較低處,綜合考慮三種調(diào)制方式的特點,并結(jié)合電路的復雜度情況,最終選擇用FSK調(diào)制方式,考慮到要盡量提升碼元率,并且在16k比特時能滿足MSK的條件,最終選擇兩個載波頻率為32KHZ和48KH

10、Z,并且用單片函數(shù)發(fā)生芯片XR2206為核心構(gòu)成FSK調(diào)制電路,它在進行FSK調(diào)制時相位是連續(xù)變化的。2.4 解調(diào)器:采用鎖相環(huán)FSK解調(diào)方式,鎖相環(huán)相當于一個中心頻率能夠跟蹤輸入信號頻率變化的窄寬濾波器。利用鎖相環(huán)的跟蹤功能,使載波和相位同步提取不僅頻率相同,而且相位差也很小,它的窄寬濾波特性,可以改善同步系統(tǒng)的噪聲性能,做到低門限鑒頻,他的記憶特性,可以使輸入信號中斷后,在一定時間內(nèi)保持同步選用集成鎖相環(huán)MN7411C4046組成FSK解調(diào)電路,其最高頻率能達到12MHZ。完全能滿足要求,但使用時應(yīng)注意正確選擇1.PF參數(shù)和VCO部分的外接電阻參數(shù),以控制鎖定頻率范圍。2.5 3dB帶寬為

11、30-50HZ的帶通濾波器: 方案一:有源運放濾波器方案,電路采用阻容元件,體積小,有大量現(xiàn)成的表格可以供設(shè)計時查閱,但其干擾較大,對元器件的數(shù)值誤差敏感,某些情況下在負反饋回路中可能產(chǎn)生正反饋,設(shè)置引起自激,調(diào)試起來也比較麻煩。 方案二:開關(guān)電容濾波器方案,開關(guān)電容濾波器克服了方案一的缺點,使用時鐘頻率控制通阻帶,通帶波動小,過濾帶窄,阻帶衰減大,使用專用芯片如1.MF100,可以獲得0.1HZ-100KHA的可調(diào)中心頻率,以及帶外 -60dB/十倍頻程的衰減是實現(xiàn)題目要求的帶通濾波的最佳方案。最后,選擇的是有濾波器的方案,采用阻容元件均有高精度、低溫裂特性并且經(jīng)過嚴格篩選。2.6 時鐘頻率

12、可變的測試碼發(fā)生器:由于該測試碼主要用于測試傳輸速率,對于碼型沒有特別要求,可以采用頻率可調(diào)的方波信號,用精確波形發(fā)生器/壓控振蕩器芯片ICL8038,以及簡單的外圍電路即可構(gòu)成線性誤差小于百分之零點一,輸出頻率范圍0.。1HZ-300KHZ的V/F轉(zhuǎn)換電路,較好的滿足了生成測試碼的要求,但此電路頻率穩(wěn)定度較差。2.7 接收端采集結(jié)果顯示電路:使用一片89C52作為數(shù)據(jù)采集-顯示系統(tǒng)的核心,利用89C52內(nèi)部集成的專用串行通信電路實現(xiàn)數(shù)據(jù)采集和串/并轉(zhuǎn)換,并可以通過波特率編輯響應(yīng)發(fā)送端波特率的變化。2.8 通信編輯于軟件糾錯: 由于模擬信號的噪聲比較嚴重,為正確通信,有必要使用一定的編碼方式進

13、行檢查和糾錯,綜合考慮CPU資源的占用情況,我們選擇簡單有效的二維奇偶校驗碼作為基本校驗碼,但而為奇偶校驗碼有明顯的局限性:不能見出幀數(shù)據(jù)中構(gòu)成矩形的4個錯碼元,為進一步提高通信可靠性,我們在發(fā)送多次同一幀數(shù)據(jù)。接收端還在連續(xù)收到的三幀數(shù)據(jù)中,如果發(fā)現(xiàn)有兩幀完全相對則認為該數(shù)據(jù)發(fā)送正確,稱為“三中數(shù)二”的方式,其效果相當于一個低通濾波器。用這種方法可以有效地提高通行的可靠性,但需要注意的是,如果接收端在某一幀的連續(xù)發(fā)透過程中始終沒有接到其正確幀,則拒收本幀,也即這種糾錯方式不能確保所有幀有效傳遞。綜上所訴,我們在發(fā)送端和接收端采用雙CPU方案,用兩片可以確定波特率的89C52單片機分別控制數(shù)據(jù)

14、采集,通信和采集結(jié)果顯示,發(fā)端和手端之間為單向數(shù)據(jù)傳輸系統(tǒng),擦應(yīng)FRK調(diào)制,鎖相環(huán)解鎖。為提高通信的可靠性,通信編碼用二維奇偶校驗碼,并采用連續(xù)發(fā)送/三中取二接收的通信方式。用有源運放敏帶濾波器件為模擬信道濾波器。用戶ICL8038構(gòu)成試碼發(fā)生器。3 系統(tǒng)原理圖圖1::4 單元電路分析與計算4.1 XR2206FSK調(diào)制電路 XR2206是單片函數(shù)發(fā)生器集成電路,可產(chǎn)生高質(zhì)量、高穩(wěn)定、高精度的正弦波、方波、三角波等波形,可使用外部電壓獲得調(diào)頻或者調(diào)幅波形輸出。工作頻率可由外部選擇,其范圍為0.01HZ-1MHZ。4.2 M74DC4046 FSK 解調(diào)電路 MM74DC4046是通用的CMOS

15、鎖相環(huán)集成電路,其內(nèi)部主要由相位比較器P1、P2壓控振蕩器(VC0)、線性放大器、源極跟蹤器、整形電路等構(gòu)成,圖5-1-2是制六構(gòu)成的FSK解調(diào)電路,在確定外圍元件參數(shù)時,必須根據(jù)器件有關(guān)的技術(shù)資料本系統(tǒng)FSK兩個載波頻率分別為fmin=32HZ/fmax=48KHZ,中心頻率f0=40KHZ,由器件手冊中的fmin-R2/C1曲線可以定出R2和C1的值。由曲線(fmin/fmin)-R2/R1可確定R2/R1的值,從而得出R1的值。4046前級比較器LM393用于將輸入模擬信號轉(zhuǎn)換為0-5V數(shù)字電平,提供4046的輸入后級用A741構(gòu)成一個2階低通濾波器,截止頻率約20KHZ,用于濾除解調(diào)輸

16、出信號中的高頻成分,最后再用1M393對信號進行整形,輸出幅度為0-5V的數(shù)字信號4.3 帶通濾波器的設(shè)計 為在通帶內(nèi)獲得最大平坦,選擇BUTTERWORTH型帶通濾波器,指標為FC1=30KHZ,fch=50KHZ,阻帶衰減斜率=35dB/十倍率,具體計算如下:(1) : 階數(shù)計算,可只通過低通部分,由衰減頻率>=35dB/十倍頻可得:W/WC=10處幅度衰減>=38dB/十倍頻,根據(jù)BUTTERWORTH型低通幅度函數(shù)可得:圖2: 解得n>=2,因此濾波器需要3階。(2): 電路選擇電路可以采用單重反饋、單位增益、單運放一次實現(xiàn)的低、高通三階節(jié),但該三階節(jié)是靈敏度偏高,元

17、件值誤差和溫度變化會嚴重影響濾波特性。本設(shè)計采用一階節(jié)和二階節(jié)連方式來實現(xiàn)高、低通濾波器,靈敏度降低,特性比較穩(wěn)定。原理圖如圖3所示:圖3: (3) 阻容元件值得計算根據(jù)系統(tǒng)傳輸函數(shù)和BUTTERWORTH三階多項式的表達形式,計算得:低通濾波器:C1=20nf,C2=40nf,R1=R2=R3=160歐姆高通濾波器:C1=C2=C3=10nf,R1=520歐姆,R2=270歐姆,R3=1千歐(4) Pspice仿真結(jié)果,用Oread Pspice對該通濾波器進行仿真,得到其理論寬帶為27-55KHZ中心頻率為30KHZ,帶外衰減超過-50dB/十倍頻,基本滿足題目要求。4.4 測試碼發(fā)生器

18、IC8038可變頻率發(fā)生器,其輸出信號頻率與8腳輸入電壓之間是近似的線性關(guān)系,由9腳輸出占空比為1:1的方波作為測試碼,輸出頻率范圍為20HZ-16KHZ,即輸出碼率可以達到30Kbps。由于模擬信道帶寬只有20kbps,在FSK調(diào)制方式下,該輸出碼率范圍完全符合測試要求。4.5 A/D 轉(zhuǎn)換電路 模數(shù)轉(zhuǎn)換電路采用ADC0809與發(fā)送端單片機89C52的連接。0809是8位A/D轉(zhuǎn)換芯片,只有8位分辨率,最大不可調(diào)誤差小與±11.SB,本電路中由于考慮到傳輸數(shù)據(jù)時要增加幀頭,為了與數(shù)據(jù)區(qū)分,設(shè)幀頭為FA,輸入電壓為5V時候,A/D轉(zhuǎn)換后對應(yīng)的數(shù)據(jù)為F1,則需要調(diào)整基準源頭至5.689

19、V,可用精密基準源1M336提供該電壓。從ADC0809的數(shù)據(jù)手冊上查到,該芯片的供電電源最大可達6.5V,本電路中用5.75V,用可調(diào)精密電壓源1M317供電。4.6 單片機和鍵盤顯示器的接口電路 我們采用的雙CPU反感在發(fā)送端和接受端分別有一個8052最小系統(tǒng),包括:89C52、FPRON27128.RAM62256 地址鎖存為74LS373、地址譯碼74LS138等,發(fā)送端采用4*4鍵盤作為輸入控制,用于切換采集方式和實現(xiàn)其他擴展功能,兩端同時用8個數(shù)碼管顯示地址和數(shù)據(jù),以供誤碼率監(jiān)視。單片機與鍵盤/顯示器 的接口采用8279鍵盤/顯示器控制芯片,實現(xiàn)對鍵盤的自動掃描、防抖動,并對顯示器

20、進行自動刷新。4.7 偽隨機碼發(fā)生器和加法電路(發(fā)揮部分) 由n級移位寄存器構(gòu)成的偽隨機碼(M碼)發(fā)生器,其線性序列的最大長度為M=2n-1,題目要求M碼周期為127=27-1位碼元,所以應(yīng)采用7級移位寄存器,又根據(jù)M碼生成多式f(x)=x7+x3+1,確定反饋方程為F=Q3Q7。圖4 為偽隨機碼發(fā)生器和加法器電路:用兩片4級雙向移位寄存器74194連成7級移位寄存器。用mo=Q1Q2Q3Q4Q5Q6Q7項控制移位寄存器的工作方式,以排除零狀態(tài)。寄存器的7路輸出中任何一路都可以作為模擬噪聲源頭。在噪聲輸出端用5千歐電位器調(diào)節(jié)其峰-峰值在0-1V之間變化,噪聲通過一級射隨器各路后運放.的同相輸入

21、端,實現(xiàn)與信號的相加圖4:4.8 數(shù)據(jù)通道的切換用模擬開關(guān)S1和S2分別在發(fā)送端和接收端實現(xiàn)數(shù)據(jù)通道的切換。S1控制噪聲信號是否加入通信通道,但控制信號通道過模擬信號道或直接傳輸至信宿(此功能用于使源系統(tǒng)具有誤碼率測試功能),S1/S2都由鍵盤控制。 5 系統(tǒng)軟件設(shè)計 5.1 軟件功能 1.發(fā)送端可設(shè)定8路循環(huán)采集或者制定一路采集,數(shù)據(jù)采集速率為50ms一次,顯示、 刷新為500ms一次。 2.軟件過濾錯誤數(shù)據(jù),并支持一定的糾錯功能。 3.軟件提供兩種狀態(tài):系統(tǒng)工作狀態(tài)-系統(tǒng)正常工作、使用軟件過濾與糾錯;信道測 試狀態(tài)-不使用軟件過濾與糾錯, 用于對信道的觀察、測試。 4.軟件實現(xiàn)誤碼率測試:

22、系統(tǒng)附加測試信道,使系統(tǒng)本身支持誤碼率測試與顯示。 5.軟件實時設(shè)定波特率,從.到.擋可調(diào)節(jié)。 6.通過鍵盤設(shè)定噪聲是否加入模擬信道。5.2 通信用幀結(jié)構(gòu)與協(xié)議 系統(tǒng)使用兩種幀結(jié)構(gòu):系統(tǒng)結(jié)構(gòu)與誤碼率測試結(jié)構(gòu)。系統(tǒng)傳輸幀結(jié)構(gòu)為四字頭:幀頭、命令/地址、數(shù)據(jù)、校驗誤碼率測試時幀結(jié)構(gòu)為一字節(jié),只有數(shù)據(jù)由于此系統(tǒng)為單向傳輸系統(tǒng),故不可能有復雜的通信協(xié)議。為提高傳輸?shù)恼_性,我們使用了大量重發(fā)數(shù)據(jù)以及FEC方式,以提高通信正確率5.3系統(tǒng)軟件流程圖發(fā)送端軟件流程如圖5所示。圖5:接收端工作流程與發(fā)送基本相同,只是接受端任務(wù)管理器的下屬任務(wù)包括:接收數(shù)據(jù)、刷新 顯示、軟件過濾糾錯ON/OFF波特率設(shè)置和誤

23、碼率測試。5.4 帶通濾波器部分源程序源程序:process(rst,clk) variable acc:signed (47 downto 0); begin if rst='1' then step<=0; elsif clk'event and clk='1' then case(step) is when 0=> for i in 2 downto 1 loop y1(i)<=(others=>'0'); y2(i)<=(others=>'0'); y3(i)<=(othe

24、rs=>'0'); y4(i)<=(others=>'0'); y5(i)<=(others=>'0'); y6(i)<=(others=>'0'); x1(i)<=(others=>'0'); x2(i)<=(others=>'0'); x3(i)<=(others=>'0'); x4(i)<=(others=>'0'); x5(i)<=(others=>'

25、0'); x6(i)<=(others=>'0'); end loop; 復位 when 1=> acc:=x1(1)*a1(1)+x*a1(2)+x1(2)*a1(2)+y1(1)*b1(1)+y1(2)*b1(2); y1(2)<=y1(1); y1(1)<=acc(45 downto 22); x1(2)<=x1(1);x1(1)<=x; 第一個二階節(jié) when 2=>acc:=x2(1)*a2(1)+y1(1)*a2(2)+x2(2)*a2(2)+y2(1)*b2(1)+y2(2)*b2(2); y2(2)<

26、=y2(1); y2(1)<=acc(45 downto 22); x2(2)<=x2(1);x2(1)<=y1(1); when 3=> y3(2)<=y3(1); y3(1)<=acc(45 downto 22); x3(2)<=x3(1); x3(1)<=y2(1); when 4=> y4(2)<=y4(1); y4(1)<=acc(45 downto 22); x4(2)<=x4(1); x4(1)<=y3(1); when 5=> y5(2)<=y5(1); y5(1)<=acc(45

27、downto 22); x5(2)<=x5(1);x5(1)<=y4(1); when 6=> y6(2)<=y6(1); y6(1)<=acc(45 downto 22); x6(2)<=x6(1); x6(1)<=y5(1); end case; if step<6 then step<=step+1; else step<=1; end if; end if; y<=y6(1);end process;仿真波形:5.5 偽隨機碼發(fā)生器源程序 源程序:library ieee;use ieee.std_logic_1164.

28、all;entity PS7 is 實體名為“PS7”port(clk:in std_logic; load:in std_logic; Q :out std_logic;); 定義實體接口end PS7;architecture behav of PS7 issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)beginif clkevent and clk=1then 定義時鐘上升沿觸發(fā)if(load=1)thenc7<=0;c6<=0;c5<=0;c4<=0;c3<=0;c2<=

29、0;c1<=0;c0<=1;Q<=c7;ELSEc1<=c0;c2<=c1;c3<=c2;c4<=c3;c5<=c4;c6<=c5;c7<=c6;c0<=c7 xor c4 xor c3 xor c2; 設(shè)置反饋方式Q<=c7;end if;end if;end process;end behav;仿真波形: 5.6 A/D轉(zhuǎn)換器源程序源程序:library ieee;use iccc.std_logic_1164.alt;use iccc.std_logic_unsigned.alt;entity adcsct ispo

30、rt (D: in std_logic_vector(7 down to 0); CLR EOC:in std_logic; OE ,ALE,START:out std_logic; ADDR:out std_logic_vector(2 down to 0); DA TA:OUT STD_LOGIC_VECTOR(7 DOWN TO 0); ST:OUT NTEGER RANGE 15 DOWN TO 0);END entity adcsct;ARCHITECTURE A DCX OF adcsct IS TYPE STATE IS(ST0,ST1,ST2,ST3,ST4,ST5,ST6,S

31、T7);SIGNAL CST,NST:STATE:=ST0;SIGNAL REGL:STD_LOGIC_VECTOR(7 DOWN TO 0);signal assrx:std_logic_vcctor(2 down to 0:="000";SIGNAL LOCK:std_logic;BEGN -addr<="011";PRO:PROCESS(CST,EOC) BEGN CASE CST IS WHEN ST0=>ST<=0;ALE<='0'STATE<='0'OE<='0

32、9;LOCK<='0'; NST<=ST1; WHEN ST1=>ST<=1;ALE<='1'STATE<='0'OE<='0'LOCK<='0'; NST<=ST2; WHEN ST2=>ST<=2;ALE<='1'STATE<='1'OE<='0'LOCK<='0'; NST<=ST3; WHEN, ST3=>ST<=3;ALE<=&#

33、39;0'STATE<='1'OE<='0'LOCK<='0'; IF (EOC='0')THEN NST<=ST4; ELSE NST<=ST3; END IF; WHEN ST4=>ST<=4;ALE<='0'STATE<='0'OE<='0'LOCK<='0'; IF(EOC='1')THEN NST<=ST5; ELSE NST<=ST4; END IF; W

34、HEN ST5=>ST<=5;ALE<='0'STATE<='0'OE<='1'LOCK<='0'; NST<=ST6; WHEN ST6=>ST<=6;ALE<='0'STATE<='0'OE<='1'LOCK<='1'; NST<=ST7; WHEN ST7=>ST<=7;ALE<='0'STATE<='0'OE<=&#

35、39;1'LOCK<='1'; NST<=ST0; WHEN OTHERS=>NST<=ST0; END CASE; END PEOCESS; BEGN IF(CLK'ENT AND CLK='1')THEN CST<=NST; END IF; END PROCESS; PROCESS (LOCK) BEGN IF LOCK='1' AND LOCK'EVENT THEN REGL<=D; END IF; END PROCESS; PROCESS(CLK) begin if clk;ev

36、ent and clk='1' then if cst=st0 then addrx<=addrx+1; end if; addr<=addrx; end process; DATA<=REGL; END ADCX;6 系統(tǒng)測試6.1 功能測試 系統(tǒng)在發(fā)送端可以設(shè)定8路順序循環(huán)與指定某一路采集的功能,采集的同時顯示當前通道號和相應(yīng)電壓值。調(diào)制器輸出的信號峰-峰值在0-1V之間可調(diào),碼元速率為16kbps。ISL8083測試碼發(fā)生器輸出頻率隨輸入電壓值可變的方波信號。接收端可以與發(fā)送端同步的顯示通道號和電壓值,通過監(jiān)測發(fā)送和接收端的數(shù)碼顯示。即可判定誤碼情況。此

37、外,通過正確調(diào)整1M331(VCO)的輸入電壓。其輸出可以給偽隨機碼發(fā)生電路較精確地提供96KHZ的時鐘。偽隨機碼發(fā)生電路輸出周期為127碼元的類似噪聲的信號。6.2 指標測試 1.帶通濾波器特性測試 測試條件:輸入正弦波交流信號。 測試儀器:AFG310型函數(shù)發(fā)生器,TDS210型數(shù)字雙蹤示波器。 測試結(jié)果如表6-1所示,利用測得的數(shù)據(jù)進行曲線擬合,得到該實際帶通濾波器的表6-1:輸入信號頻率/HZ輸入信號幅度/V輸出信號幅度/V 增益/dB80004.40.094-33.002120004.160.24-24.778160004.080.516-17.96200004.080.96-12.

38、568230004.081.44-9.0462600041.9-6.46612900042.3-4.80663200042.62-3.67523500042.84-2.9748380003.962.94-2.587410003.962.9-2.7059440003.922.84-2.7994470003.922.64-3.4336500003.922.44-4.1179530003.922.2-5.0173560003.921.98-5.9324600003.921.7-7.2587700003.841.12-10.702900003.840.52-17.3671200003.80.168-2

39、7.0892000003.840.03-42.144中心頻率約為38KHZ,帶寬為27-54KHZ,在測量頻率范圍內(nèi)(遠遠小于10倍頻程),兩部阻帶的衰減已經(jīng)接近或超過35dB,所以實際帶通濾波器的頻率特性與Pspice仿真結(jié)果十分接近,滿足題目要求。 2.不同信噪比下的誤碼率測試 測試方法:在8路順序循環(huán)采集模式下,同時監(jiān)視某一路在發(fā)送端和接收端的顯示, 監(jiān)視時間1分鐘,記錄這1分鐘內(nèi)顯示的次數(shù)和誤碼次數(shù), 測試儀器:TDS210型數(shù)字雙蹤示波器(用于測定信噪比)。 測試結(jié)果如表6-2所示:表6-2: 通道號 信號幅度/V 噪聲幅度/V信噪(峰-峰值)比 顯那次數(shù) 誤碼次數(shù) 0 1 200m

40、 5 10 0 4 0.98 360m 3 10 0 2 1 500m 2 10 1 1 1 1 1當信噪(峰-峰值)比為1時,由于噪聲過大引起串行接口誤觸發(fā),數(shù)碼管顯示不穩(wěn)定,無法觀測,認為此時全部誤碼。當固定信噪(峰-峰值)比等于3,盡量提高傳輸速率,檢查接受數(shù)據(jù)的誤碼情況,測試方法和儀器同上。選通道2為監(jiān)視對象,信號幅度1.9V,噪聲幅度620mv,測試結(jié)果如表6-3所示。表6-3(1):碼元速率/Kbps 16.457 17.28 19.20 20.329 23.04顯示次數(shù) 10 10 9 10 10誤碼次數(shù) 0 0 0 0 0表6-3(2)接上表:碼元速率/Kbps 24.685 26.584 28.80 31.418顯示次數(shù) 10 10 9 10誤碼次數(shù) 0 1 2 4 3.結(jié)論由上面的測試結(jié)果可以看出,系統(tǒng)很好的完成了題目的各項基本要求和發(fā)揮部分前三項的內(nèi)容,通信通道具有較低的誤碼率,并且在信噪比固定為3的情況下,實現(xiàn)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論