四位二進制乘法器的設(shè)計與實現(xiàn)_第1頁
四位二進制乘法器的設(shè)計與實現(xiàn)_第2頁
四位二進制乘法器的設(shè)計與實現(xiàn)_第3頁
四位二進制乘法器的設(shè)計與實現(xiàn)_第4頁
四位二進制乘法器的設(shè)計與實現(xiàn)_第5頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、U!位二進制乘法器的設(shè)計與實現(xiàn)3L實驗?zāi)康脑O(shè)計一個乘法器,實現(xiàn)兩個四位二進制數(shù)的乘法。兩個二進制數(shù)分別是被乘數(shù)AAA4 和乘數(shù)B3B3F0被乘數(shù)和乘數(shù)這兩個二進制數(shù)分別由高低電平給出。乘法運算的結(jié)果即乘 積由電平指示燈顯示的二進制數(shù)。做到保持乘積、輸出乘積,即認為目的實現(xiàn),結(jié)束:運算。2 .總體設(shè)計方案或技術(shù)路線總體思路:將乘法運算分解為加法運算和移位運算c根據(jù)Bi的值決定部分積P與A相加或 與0相加,之后移位,經(jīng)過四次以上運算后得到最終的乘積。對于4位乘法器而言,設(shè)A=1011. B=1101.則運算過程可由下圖所示。從乘法運算過 程可知,乘法運算可分解為移位和相加兩種子運算,而且是多次相加

2、運算,所以是一個累加 的過程。實現(xiàn)這一累加過程的方法是,把每次相加的結(jié)果用部分積P表示,若B中某一位Bi=l, 把部分積P與A相加后右移1位:若B中某一位Bi= 0,則部分積P與0相加后右移1位(相 當于只移位不累加)。通過4次累加和移位,最后得到的部分積P就是A與B的乘枳。10 11X 1 1 0 110 11 0 0 0 0 10 11 10 111 0 0 0 1 1 1 1為了便于理解乘法器的算法,將乘法運算過程中部分積P的變化情況用圖3表示出來。 存放部分積的是一個9位的寄存器,其最高位用于存放在做加法運算時的進位輸出。先把寄 存器內(nèi)容清零,再經(jīng)過4次的加法和移位操作就可得到積。注意

3、,每次做加法運算時,被乘 數(shù)A與部分積的P744位相加。設(shè)A=1011,數(shù)11與則結(jié)果如下圖所示技術(shù)路線:實驗中,將乘法器電路分為三個部分分別設(shè)計,分別是時鐘電路,乘法控制電 路,乘法運算電路。(1) 時鐘電路:由一個D觸發(fā)器和一個非門組成,D觸發(fā)器為二分頻接法。時鐘電路共 產(chǎn)生戲,CLK,直衣三個不同于原時鐘CP的時鐘,其中方是寄存器1, 2的時鐘,CLK 是寄存器3, 4的時鐘,了灰是計數(shù)器,控制端D觸發(fā)器的時鐘。(2) 乘法控制電路:由一個D觸發(fā)器,一個計數(shù)器和兩個與非門組成。計算信號S由數(shù) 據(jù)開關(guān)輸入,終止信號i4由計數(shù)器產(chǎn)生,計算信號S通過D觸發(fā)器產(chǎn)生控制信號S 和6,控制信號S和終

4、止信號i4通過二輸入與非門產(chǎn)生控制信號-i4,控制信號S, 控制信號-i4和時鐘CLK通過三輸入與非門產(chǎn)生控制信號Csl??刂菩盘朣控制寄存 器L 2和計數(shù)器的清零端,控制信號6控制寄存器3的SL寄存器4的SO和SL 控制信號T4控制寄存器3的SO,計數(shù)器的EP和ET,元件K (三輸入與門)的開啟 與封閉,控制信號Csl控制寄存器1和2的SK(3) 乘法運算電路:由四個寄存器,一個加法器和四個元件K (三輸入與門)組成。被 乘數(shù)A和B分別由寄存器4和3輸入,乘枳由寄存器2和3輸出,加法運算由加法 器完成,移位運算由寄存器1, 2和3完成。3 .實驗電路圖說明:被乘數(shù)AAA4和乘數(shù)B3B出B。分

5、別由寄存器4和寄存器3的A1A3A2Al和B4B3B2B1 在并行置數(shù)端置入。乘積由寄存器2和寄存器3的輸出端C8C7C6C5C4C3C2C1輸出。CP為連 續(xù)脈沖時鐘信號,頻率可以自行設(shè)定。S為計算信號,初始時為0。寄存器1和寄存器2的 S0,計數(shù)器的LD,寄存器3和寄存器4的Rd, D觸發(fā)器的Rd和Sd均保持為1狀態(tài),寄存 器1的ABC,加法器的CO,寄存器1的Dsr均接地。其余管腳不接。整體電路圖:C8 CT C6 C5C4 C3 C2 Cl其中K元件(實際為三輸入與門)如下所示AiBi-i4 Ai時鐘電路圖:CPCPDD觸發(fā)器Q 0O1 CLK -74 .儀器設(shè)備名稱、型號寄存器均選擇

6、4位多功能移位寄存器74LS19E加法器選用4位二進制超前進位加法器 74LS283,計數(shù)器選用十六進制異步清零計數(shù)器74LS161, D觸發(fā)器選用上升沿雙D觸發(fā)器 74LS74,四輸入與非門選用三個74LS20,二輸入與非門選用兩個74LS00,直流穩(wěn)樂電源, 實驗箱,導線若干。5 .理論分析或仿真分析結(jié)果L初始狀態(tài):A4A3A2Al和B4B3B2B1均為0, S為C,寄存器1,寄存器2,計數(shù)器均處于 清零等待狀態(tài),寄存器3,寄存器4處于置數(shù)狀態(tài)。此時可輸入A4A3A2Al和B1B3B2BL將 會分別置入寄存器3和寄存器4o6 .計算過程:(1】將A和B置入后,在乘枳電平指示燈顯示OO(X)

7、B3B31Bo后,即可按下s犍,使其為1, 計算開始。(2)第一個還時鐘脈沖上升沿,寄存器1和2置數(shù),將第一次計算結(jié)果傳遞給輸出端。(3)第一個CLK時鐘脈沖上升沿,寄存器3右移,為下一次計算做準備。(4)第二個正時鐘脈沖上升沿,寄存器1和2右移.為卜.一次計算做準備。(5)第一個直天時鐘上升沿,計數(shù)器加1。(6)重復(2) (3) (4) (5)過程。(7)在4個,£京時鐘脈沖后,計算結(jié)束,電平指示燈i4亮,計算結(jié)束。電平指示燈顯示為 八位二進制計算結(jié)果。此時,元件K為關(guān)閉狀態(tài),寄存器1, 2處于置數(shù)狀態(tài),寄存器3處 于保持狀態(tài),使得輸出結(jié)果保持為計算乘枳。仿真實驗電路圖及仿真實驗

8、結(jié)果:被乘數(shù)A乘數(shù)B乘枳P000100010000000100100010000001000100001000001000101011000111100011001100100100001011110110001111111111111110000187UH MH6,詳細實驗步驟及實驗結(jié)果數(shù)據(jù)記錄(包括各儀器、儀表量程及內(nèi)阻的記錄)被乘數(shù)A乘數(shù)B乘積P00010001000000010010001000000100010000100000100000100100000010001000100001000000101111011000111111111111111000017 .實驗結(jié)論我所設(shè)計

9、的乘法器工作良好、結(jié)果準確。幾次運行均顯示了正確的結(jié)果,并且運算時間 短,速度快,時鐘頻率可自主調(diào)節(jié),易于控制。此外,實驗電路可以簡單改裝實現(xiàn)輕松的高位乘法運算。其中對寄存器和終止指令i4 進行合理改變即可完成更高位乘法運算。其運行時間與四位乘法器差不多,呈線性變化,即 “位乘法器需運行2“個CP時鐘脈沖的時間。時高位,多次乘法運算來說,計算速度快,運 行時間短。8 .實驗中出現(xiàn)的問題及解決對策(1)問題:實驗室沒有八位移位寄存器,無法完成加法結(jié)果的整體寄存和移位?解決方案:采用兩片74LS194組合成八位移位寄存器(寄存器1和2)。(2)問題:八位移位寄存器需要在運算過程中不斷進行置數(shù),移位

10、的改變?解決方案:引入時鐘CLK與S, 一遇通過與非門形成隨時鐘CLK改變的控制信號Csl,使移 位寄存器依次完成置數(shù),移位的操作。(3)問題:當八位移位寄存器使用時鐘CP時,由于時鐘延遲的問題,八位移位寄存器中的 最低位無法移入寄存器3。解決方案:給時鐘CP加一個反相器,形成時鐘正,保證八位移位寄存器(寄存器1和2) 置數(shù)后,寄存器3先進行移位操作,而后八位移位寄存器(寄存器1和2)在進行移位操作, 保證數(shù)據(jù)不會丟失。(4)問題:輸入計算信號S的時間不確定,使運算無法穩(wěn)定進行。解決方案:加入一個D觸發(fā)器,使S只能在時鐘五R的上升沿輸入,確定了接下來的時鐘順 序必然為標1, CLKb CP2,

11、 CLK1,請3,戲&由"I,使乘法運算順利完整的進行。9 .本次實驗的收獲和體會、對電路實驗室的意見或建議體會:但凡是科研(姑且將本次設(shè)計看作是一次簡單的科研),必須投入一定量的 心血。為了完成這項設(shè)計,我?guī)滓灼涓濉I心瀝血、頗費心思地想了好幾天。當最初的設(shè)想被實現(xiàn)了的時候,我感到無比的滿足。數(shù)電是一門很行實踐價值的深,通 過課匕系統(tǒng)的知識積累,我發(fā)現(xiàn)即便是比較基礎(chǔ)的電子、電路知識也可以造出來比較復雜的 設(shè)備,當然這需要設(shè)計者的智禁和勤奮??磥砥娇谏钪须S處可見的電子產(chǎn)品,無不凝聚著 工程師、科研人員的汗水和努力。在設(shè)計的過程中,我遇到了很多困難,有的時候被困難壓 得頭疼,但是經(jīng)過了與同學的討論,許多困難迎刃而解,我體會

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論