畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的電子時(shí)鐘_第1頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的電子時(shí)鐘_第2頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的電子時(shí)鐘_第3頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的電子時(shí)鐘_第4頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的電子時(shí)鐘_第5頁(yè)
已閱讀5頁(yè),還剩46頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)畢業(yè)設(shè)計(jì)-基于AT89C51單片機(jī) 的電子時(shí)鐘本設(shè)計(jì)主要設(shè)計(jì)了一個(gè)基于AT89c51單片機(jī)的電子時(shí)鐘。并在數(shù)碼管上顯 示相應(yīng)的時(shí)間。并通過(guò)一個(gè)控制鍵用來(lái)實(shí)現(xiàn)時(shí)間的調(diào)節(jié)和是否進(jìn)入省電模式的 轉(zhuǎn)換。應(yīng)用Proteus的ISIS軟件實(shí)現(xiàn)了單片機(jī)電子時(shí)鐘系統(tǒng)的設(shè)計(jì)與仿真。該 方法仿真效果真實(shí)、準(zhǔn)確,節(jié)省了硬件資源。同時(shí)單片機(jī)以AT89C51為核心元 件采用LED數(shù)碼管顯示器動(dòng)態(tài)顯示“時(shí)”,“分”,“秒”的現(xiàn)代計(jì)時(shí)裝置。與傳 統(tǒng)機(jī)械表相比,它具有走時(shí)精確,顯示直觀等特點(diǎn)。另外具有校時(shí)功能,秒表功 能,和定時(shí)器功能,利用單片機(jī)實(shí)現(xiàn)的數(shù)字時(shí)鐘具有編程靈活,便于功能的擴(kuò) 充

2、等優(yōu)點(diǎn)。關(guān)鍵詞:數(shù)字鐘系統(tǒng);單片機(jī);LED液晶顯示器Digital clock system AbstractThe system uses MCU with time, the school features such as the digital clock, SCM AT89C51 is also used as the core components of the LED digital display dynamic display "when” and npointsn and n seconds n of the modern time device . Compare

3、d with the traditional mechanical watches, it has a precise path that intuitive z and other characteristics. In addition a school functionf stopwatch function, and the timer function to achieve MCU use of the digital clock with programming flexibility to facilitate the expansion of functional advant

4、ages.Key words: digital clock system; SCM; LED LCD Monitor目錄緒論11.系統(tǒng)方案21.1系統(tǒng)概述21.2方案論證21. 3擴(kuò)展功能31. 4原理31 . 5所需元器件32 .電子時(shí)3 31.1 電子時(shí)鐘簡(jiǎn)介31.2 電子時(shí)鐘的基本特點(diǎn)31.3 電子時(shí)鐘的原理43 .單片機(jī)的相關(guān)知識(shí)41. 1單片機(jī)簡(jiǎn)介43. 2單片機(jī)的特點(diǎn)44. 3 89C51單片機(jī)介紹44 .單元電路設(shè)計(jì)、原理及器件選擇55 .電路與程序設(shè)計(jì)135.1 電路設(shè)計(jì)135.2程序設(shè)計(jì)155.2.1程 序 流 程圖1 55. 2. 2定時(shí)器0程序流程圖165.3.3 系 統(tǒng)

5、 板 上 硬 件 連線185.3.4 匯 編 源 程序185.3.5 定時(shí)器0中斷程序235.3.6 定時(shí)器1中斷程序25陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)5 . 2.7鍵盤(pán)子程序286 仿 真 結(jié) 果 分析317 結(jié)束語(yǔ)328 參考文獻(xiàn).34陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)緒論(一)引言20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎 滲透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的 提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越 快。時(shí)間對(duì)人們來(lái)說(shuō)總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前 的時(shí)間。忘記了要做的事情,

6、當(dāng)事情不是很重要的時(shí)候,這種遺忘無(wú)傷大雅。 但是,一旦重要事情,一時(shí)的耽誤可能釀成大禍。例如,許多火災(zāi)都是由于人 們一時(shí)忘記了關(guān)閉煤氣或是忘記充電時(shí)間。尤其在醫(yī)院,每次護(hù)士都會(huì)給病人 作皮試,測(cè)試病人是否對(duì)藥物過(guò)敏。注射后,一般等待5分鐘,一旦超時(shí),所 作的皮試試驗(yàn)就會(huì)無(wú)效。手表當(dāng)然是一個(gè)好的選擇,但是,隨著接受皮試的人 數(shù)增加,到底是哪個(gè)人的皮試到時(shí)間卻難以判斷。所以,要制作一個(gè)定時(shí)系統(tǒng)。 隨時(shí)提醒這些容易忘記時(shí)間的人。鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表 原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定 時(shí)廣播、定時(shí)啟閉電路、定時(shí)開(kāi)關(guān)烘箱、通

7、斷動(dòng)力設(shè)備,甚至各種定時(shí)電氣的 自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò) 大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。(二)論文的研究?jī)?nèi)容和結(jié)構(gòu)安排本系統(tǒng)采用石英晶體振蕩器、分頻器、計(jì)數(shù)器、顯示器和校時(shí)電路組成。 由LED數(shù)碼管來(lái)顯示譯碼器所輸出的信號(hào)。采用了 74LS系列中小規(guī)模集成芯片。 使用了 RS觸發(fā)器的校時(shí)電路??傮w方案設(shè)計(jì)由主體電路和擴(kuò)展電路兩大部分組 成。其中主體電路完成數(shù)字鐘的基本功能,擴(kuò)展電路完成數(shù)字鐘的擴(kuò)展功能。論 文安排如下:1、緒論闡述研究電子鐘所具有的現(xiàn)實(shí)意義。2、設(shè)計(jì)內(nèi)容及設(shè)計(jì)方案論述電子鐘的具體設(shè)計(jì)方案及設(shè)計(jì)要求。3、單元電路設(shè)計(jì)、原理及器件選擇說(shuō)明

8、電子鐘的設(shè)計(jì)原理以及器件的選 擇,主要從石英晶體振蕩器、分頻器、計(jì)數(shù)器、顯示黠和校時(shí)電路五個(gè)方面進(jìn) 行說(shuō)明。4、繪制整機(jī)原理圖該系統(tǒng)的設(shè)計(jì)、安裝、調(diào)試工作全部完成。1、系統(tǒng)方案1.1 系統(tǒng)概述:本系統(tǒng)可模擬電子時(shí)鐘,實(shí)現(xiàn)時(shí)鐘,秒表,倒計(jì)時(shí)定時(shí)器功能,主從CPU 數(shù)據(jù)處理、鍵盤(pán)控制與數(shù)據(jù)顯示。主控系統(tǒng)能響應(yīng)按鍵,并對(duì)其進(jìn)行相應(yīng)的處 理,再把其對(duì)應(yīng)的結(jié)果數(shù)據(jù)在數(shù)碼管LED上顯示。具體設(shè)計(jì)基本任務(wù)是:1 .用LED數(shù)碼管顯示時(shí)間,且能顯示時(shí)、分、秒的24小時(shí)制的數(shù)字鐘。2 .具有校時(shí)功能,具體方法:設(shè)置三個(gè)按鈕,其中兩個(gè)按鈕分別對(duì)“時(shí)”、 “分”進(jìn)行校時(shí),另一個(gè)按鈕則實(shí)現(xiàn)對(duì)“秒”位清零系統(tǒng)結(jié)構(gòu)框圖如

9、圖1.1所示:圖1. 1數(shù)字鐘系統(tǒng)結(jié)構(gòu)框圖1. 2方案論證方案一1 .計(jì)數(shù)部分采用異步2-5-10進(jìn)制計(jì)數(shù)器7490,顯示部分參考實(shí)驗(yàn)七。2 .計(jì)時(shí)用的“秒”脈沖信號(hào)可用實(shí)驗(yàn)板中提供的800Hz分頻產(chǎn)生。800Hz 信號(hào)同時(shí)作為動(dòng)態(tài)掃描顯示電路的時(shí)鐘信號(hào)。陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)3 .時(shí)、分校時(shí)控制,當(dāng)校時(shí)按鈕按下時(shí),可對(duì)時(shí)、分計(jì)數(shù)器CP端輸入秒 脈沖來(lái)加速計(jì)數(shù)速度來(lái)達(dá)到校時(shí)目的。方案二1 .用單片機(jī)定時(shí)器中斷原理實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)10ms循環(huán)掃描,同時(shí)完成計(jì)數(shù) 功能,并經(jīng)過(guò)多次中斷產(chǎn)生“秒”信號(hào)。2 .可控制按鍵實(shí)現(xiàn)時(shí),分,秒加一,減一功能??紤]到設(shè)計(jì)硬件簡(jiǎn)單原則,利用單片機(jī)實(shí)現(xiàn)的數(shù)

10、字時(shí)鐘具有編程靈活,便 于功能的擴(kuò)充等優(yōu)點(diǎn),故本設(shè)計(jì)采用方案二。1. 3擴(kuò)展功能1 .實(shí)現(xiàn)秒表功能。2 .可以用于倒計(jì)時(shí)定時(shí)。3 .可以時(shí)分秒清零。1.4.原理本設(shè)計(jì)主要應(yīng)用了單片機(jī)原理,利用單片機(jī)的I/O 口,由鍵盤(pán)控制I/O 口,進(jìn)行單片機(jī)相應(yīng)的程序處理,再用I/O 口控制數(shù)碼管的顯示。利用開(kāi)關(guān) 來(lái)控制開(kāi)啟,暫停計(jì)時(shí),并切換時(shí)鐘,秒表,倒計(jì)時(shí)定時(shí)器功能。1. 5所需元器件六位一體數(shù)碼管,89C51單片機(jī),7407芯片,12M晶振,按鍵若干,開(kāi)關(guān) 若干,電阻若干,電容若干,導(dǎo)線2、電子時(shí)鐘2.1 電子時(shí)鐘簡(jiǎn)介1957年,Ventura發(fā)明了世界上第一個(gè)電子表,從而奠定了電子時(shí)鐘的基礎(chǔ), 電子

11、時(shí)鐘開(kāi)始迅速發(fā)展起來(lái)?,F(xiàn)代的電子時(shí)鐘是基于單片機(jī)的一種計(jì)時(shí)工具, 采用延時(shí)程序產(chǎn)生一定的時(shí)間中斷,用于一秒的定義,通過(guò)計(jì)數(shù)方式進(jìn)行滿(mǎn)六 十秒分鐘進(jìn)一,滿(mǎn)六十分小時(shí)進(jìn)一,滿(mǎn)二十四小時(shí)小時(shí)清零。從而達(dá)到計(jì)時(shí)的 功能,是人民日常生活補(bǔ)課缺少的工具。2.2 電子時(shí)鐘基本特點(diǎn):通過(guò)功能轉(zhuǎn)換鍵可實(shí)現(xiàn)月,日,時(shí),分,秒,顯示12制式,LED背光(可 根據(jù)用戶(hù)要求更改不同的背光顏色)顯示直觀,外觀新穎抗干擾能力強(qiáng),性能 穩(wěn)定。技術(shù)參數(shù):電壓范圍5V-50%微功耗應(yīng)用范圍:摩托車(chē)電動(dòng)車(chē)耐壓: DC 30V時(shí)間5min低溫:在-20C的恒溫冷柜中恒溫2H,時(shí)鐘工作正常高溫: 在90C的恒溫烘箱中恒溫2H,時(shí)鐘工作

12、正??垢蓴_性能:在摩托車(chē)怠速、加速 狀態(tài),按喇叭時(shí),時(shí)鐘工作正常耐紫線照射性能:EPROMR紫外線擦除器照射時(shí) 間100小時(shí)引出線強(qiáng)度:外力6Kg,銅接頭與引線之間不脫落;引線不斷裂時(shí) 鐘工作電流:1mA2.3 電子時(shí)鐘的原理:電子鐘是用數(shù)字電路實(shí)現(xiàn)“時(shí)”、“分”、“秒”數(shù)字顯示的計(jì)時(shí)裝置,主要 由振蕩器、分頻器、計(jì)數(shù)器、譯碼顯示器、校時(shí)電路、報(bào)時(shí)電路等六部分組成。 電子鐘具有計(jì)時(shí)準(zhǔn)確的功能。3.單片機(jī)識(shí)的相關(guān)知識(shí)3.1 單片機(jī)簡(jiǎn)介:?jiǎn)纹瑱C(jī)是一種集成在電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù) 處理能力的中央處理器CPU隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O 口和中 斷系統(tǒng)、定時(shí)器

13、/計(jì)時(shí)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模 擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的計(jì) 算機(jī)系統(tǒng)。單片機(jī)的特點(diǎn):3.2 89C51單片機(jī)介紹:出廠所配晶振頻率為11. 0592MH,每個(gè)機(jī)器周期為1. 085us,用戶(hù)更換晶振以 提高速度。存貯器為64K,前4K/8K20K在CPU內(nèi)部,其它程序在EPR0M27512中。 數(shù)據(jù)存貯器為32K(62256),地址為8000FFFFH。I/0擴(kuò)展8155,片內(nèi)RAM地址: 2000-20FFH8155 命令口地址為:2100HA 口地址:2101H B 口地址:2102H C 口3陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)

14、設(shè)計(jì)(論文)地址:2103H T低八位:2104H T高八位:2105A/D采用精高度,速度快(25us)的 AD574,并在其轉(zhuǎn)換前對(duì)信號(hào)作了采樣保持處理。AD574啟動(dòng)地址為:4000H高八位地址為:4002H低四位地址為:4003H 多路模擬開(kāi)關(guān)的使用:INO : Pl=0F8H IN4 : P1=OFCH INI : Pl=0F9H IN5:P1=OFDHIN2 : P1=OFAH IN5:P1=OFEH IN3 : P1=OFBH IN7:P1=OFFHPl. 0 按 CD4051 的 C(9), Pl. 1 按 CD4051 的 B 腳(10)、12按。)4051的人腳(11)。D

15、/A采用0832輸出,地址為:6000H-6FFFH鍵盤(pán)顯示(CZ2)采用8279,地址為:7FFEH-7FFFH日歷時(shí)鐘芯片DS12887,命令寄存器為5000H-500DH,不掉電數(shù)據(jù)存貯器為:500EH-507FH控制板:160x109(mm)供電:+5V300mA +12V100mA -12V100mA AT89C51 是 一種低功耗、高性能的片內(nèi)含有4KB快閃可編程/擦除只讀存儲(chǔ)器(FPEROM-Flash Programmable and Eraseable Read Only Memory)的 8 位 CMOS 微控制器,使 用高密度、非易失存儲(chǔ)技術(shù)制造,并且與80c51引腳和指

16、令系統(tǒng)完全兼容。主 要性能:與MCS-51微控制器產(chǎn)品系列兼容。片內(nèi)有4KB可在線重復(fù)編程的快閃 擦寫(xiě)存儲(chǔ)。存儲(chǔ)數(shù)據(jù)保存時(shí)間為10年。寬工作電壓范圍:Vcc可為2.7V到6V 全靜態(tài)工作:可從0Hz至16MHz程序存儲(chǔ)器具有3級(jí)加密保護(hù)。128*8位內(nèi)部 RAMo 32條可編程I/O線。兩個(gè)16位定時(shí)器/計(jì)數(shù)器中斷結(jié)構(gòu)具有5個(gè)中斷源和2個(gè)優(yōu)先級(jí)。可編程全雙工串行通道。空閑狀 態(tài)維持低功耗和掉電狀態(tài)保存存儲(chǔ)內(nèi)容四、單元電路設(shè)計(jì)、原理及器件選擇(一)石英晶體振蕩器1、重要概念的解釋(1)反饋:將放大電路輸出量的一部分或全部,通過(guò)一定的方式送回放大 電路的輸入端。(2)耦合:是指信號(hào)由第一級(jí)向第二級(jí)

17、傳遞的過(guò)程。2、石英晶體振蕩的具體工作原理石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡(jiǎn)單、頻率易調(diào)整。它 被廣泛應(yīng)用于彩電、計(jì)算機(jī)、遙控器等各類(lèi)振蕩電路中。它還具有壓電效應(yīng): 在晶體某一方向加一電場(chǎng),晶體就會(huì)產(chǎn)生機(jī)械變形;反之,若在晶片的兩側(cè)施 加機(jī)械壓力,則在晶片相應(yīng)的方向上將產(chǎn)生電場(chǎng),這種物理現(xiàn)象稱(chēng)為壓電效應(yīng)。 在這里,我們?cè)诰w某一方向加一電場(chǎng),從而在與此垂直的方向產(chǎn)生機(jī)械振動(dòng), 有了機(jī)械振動(dòng),就會(huì)在相應(yīng)的垂直面上產(chǎn)生電場(chǎng),從而使機(jī)械振動(dòng)和電場(chǎng)互為 因果,這種循環(huán)過(guò)程一直持續(xù)到晶體的機(jī)械強(qiáng)度限制時(shí),才達(dá)到最后穩(wěn)定,這 種壓電諧振的頻率即為晶體振蕩器的固有頻率。用反相器與石英晶體構(gòu)成的振

18、蕩電路如圖2所示。利用兩個(gè)非門(mén)G1和G2自 我反饋,使它們工作在線性狀態(tài),然后利用石英晶體JU來(lái)控制振蕩頻率,同時(shí) 用電容C1來(lái)作為兩個(gè)非門(mén)之間的耦合,兩個(gè)非門(mén)輸入和輸出之間并接的電阻R1 和R2作為負(fù)反饋元件用,由于反饋電阻很小,可以近似認(rèn)為非門(mén)的輸出輸入壓 降相等。電容C2是為了防止寄生振蕩。例如:電路中的石英晶體振蕩頻率是4MHz 時(shí),則電路的輸出頻率為4MHz。(二)分頻器1、8421碼制,5421碼制用四位二進(jìn)制碼的十六種組合作為代碼,取其中十種組合來(lái)表示0-9這十 個(gè)數(shù)字符號(hào)。通常,把用四位二進(jìn)制數(shù)碼來(lái)表示一位十進(jìn)制數(shù)稱(chēng)為二-十進(jìn)制編 碼,也叫做BCD碼,見(jiàn)表1。表18421 碼

19、5421 碼0 0000 00001 0001 00012 0010 00103 0011 00114 0100 01005 0101 10006 0110 10017 0111 10108 1000 10119 1001 11002、分頻器的具體工作原理由于石英晶體振蕩器產(chǎn)生的頻率很高,要得到秒脈沖,需要用分頻電路。 例如,振蕩器輸出4MHz信號(hào),通過(guò)D觸發(fā)器(74LS74)進(jìn)行4分頻變成1MHz, 然后送到10分頻計(jì)數(shù)器(74LS90,該計(jì)數(shù)器可以用8421碼制,也可以用5421 碼制),經(jīng)過(guò)6次10分頻而獲得1Hz方波信號(hào)作為秒脈沖信號(hào)。(見(jiàn)圖3) 3、圖中標(biāo)志的含義CP一一輸入的脈沖信

20、號(hào)C0進(jìn)位信號(hào)Q一一輸出的脈沖信號(hào)(三)計(jì)數(shù)器秒脈沖信號(hào)經(jīng)過(guò)6級(jí)計(jì)數(shù)器,分別得到“秒”個(gè)位、十位,“分”個(gè)位、 十位以及“時(shí)”個(gè)位、十位的計(jì)時(shí)?!懊搿?、“分”計(jì)數(shù)器為60進(jìn)制,小時(shí)為 24進(jìn)制。1、60進(jìn)制計(jì)數(shù)器(1)計(jì)數(shù)器按觸發(fā)方式分類(lèi)計(jì)數(shù)器是一種累計(jì)時(shí)鐘脈沖數(shù)的邏輯部件。計(jì)數(shù)器不僅用于時(shí)鐘脈沖計(jì)數(shù), 還用于定時(shí)、分頻、產(chǎn)生節(jié)拍脈沖以及數(shù)字運(yùn)算等。計(jì)數(shù)器是應(yīng)用最廣泛的邏 輯部件之一。按觸發(fā)方式,把計(jì)數(shù)器分成同步計(jì)數(shù)器和異步計(jì)數(shù)器兩種。對(duì)于 同步計(jì)數(shù)器,輸入時(shí)鐘脈沖時(shí)觸發(fā)器的翻轉(zhuǎn)是同時(shí)進(jìn)行的,而異步計(jì)數(shù)器中的 觸發(fā)器的翻轉(zhuǎn)則不是同時(shí)。(2) 60進(jìn)制計(jì)數(shù)器的工作原理“秒”計(jì)數(shù)器電路與“分”計(jì)數(shù)

21、器電路都是60進(jìn)制,它由一級(jí)10進(jìn)制計(jì) 數(shù)器和一級(jí)6進(jìn)制計(jì)數(shù)器連接構(gòu)成,如圖4所示,采用兩片中規(guī)模集成電路 74LS90串接起來(lái)構(gòu)成的“秒”、“分”計(jì)數(shù)器。74290R9(l) NC R9 QC QB NC GNDVCC - RCX2) RCKD - IL” OLKA”QA - QD -13R9(l) NC R9(2) QC QB NC GND4290vccRO(2)RCK1)CLKB號(hào)CLKA> QA -!4ifi圖4 60進(jìn)制計(jì)數(shù)電路ICl是十進(jìn)制計(jì)數(shù)器,QD1作為十進(jìn)制的進(jìn)位信號(hào),74LS90計(jì)數(shù)器是十進(jìn)制 異步計(jì)數(shù)器,用反饋歸零方法實(shí)現(xiàn)十進(jìn)制計(jì)數(shù),IC2和與非門(mén)組成六進(jìn)制計(jì)數(shù)。

22、74LS90是在CP信號(hào)的下降沿翻轉(zhuǎn)計(jì)數(shù),Q A1和Q C2相與0101的下降沿,作 為“分”(“時(shí)”)計(jì)數(shù)器的輸入信號(hào),通過(guò)與非門(mén)和非門(mén)對(duì)下一級(jí)計(jì)數(shù)器送 出一個(gè)高電平1 (在此之前輸出的一直是低電平0)。QB2和QC2計(jì)數(shù)到0110, 產(chǎn)生的高電平1分別送到計(jì)數(shù)器的清零R0,R0(2), 74LS90內(nèi)部的R0(l) 和R0與非后清零而使計(jì)數(shù)器歸零,此時(shí)傳給下一級(jí)計(jì)數(shù)器的輸入信號(hào)又變?yōu)?低電平0,從而給下一級(jí)計(jì)數(shù)器提供了一個(gè)下降沿,使下一級(jí)計(jì)數(shù)器翻轉(zhuǎn)計(jì)數(shù), 在這里IC2完成了六進(jìn)制計(jì)數(shù)。由此可見(jiàn)IC1和IC2串聯(lián)實(shí)現(xiàn)了六十進(jìn)制計(jì)數(shù)。其中:74LS90可二/五分頻十進(jìn)制計(jì)數(shù)器74LS04非門(mén)7

23、4LS00二輸入與非門(mén)2、24進(jìn)制計(jì)數(shù)器小時(shí)計(jì)數(shù)電路是由IC5和IC6組成的24進(jìn)制計(jì)數(shù)電路,如圖5所示。當(dāng)“時(shí)”個(gè)位IC5計(jì)數(shù)輸入端CP5來(lái)到第10個(gè)觸發(fā)信號(hào)時(shí),IC5計(jì)數(shù)器自 動(dòng)清零,進(jìn)位端QD5向IC6 “時(shí)”十位計(jì)數(shù)器輸出進(jìn)位信號(hào),當(dāng)?shù)?4個(gè)“時(shí)”(來(lái) 自“分”計(jì)數(shù)器輸出的進(jìn)位信號(hào))脈沖到達(dá)時(shí),IC5計(jì)數(shù)器的狀態(tài)為“0100”, IC6計(jì)數(shù)器的狀態(tài)為“0010”,此時(shí)“時(shí)”個(gè)位計(jì)數(shù)器的QC5和“時(shí)”十位計(jì)數(shù) 7陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)器的QB6輸出為“1”。把它們分別送到IC5和IC6計(jì)數(shù)器的清零端RO(D和 R0(2),通過(guò)7490內(nèi)部的RO(1)和R0(2)與非后清零,

24、從而完成24進(jìn)制計(jì)數(shù)。 (四)譯碼與顯示電路1、顯示器原理(數(shù)碼管)數(shù)碼管是數(shù)碼顯示器的俗稱(chēng)。常用的數(shù)碼顯示器有半導(dǎo)體數(shù)碼管,熒光數(shù) 碼管,輝光數(shù)碼管和液晶顯示器等。本設(shè)計(jì)所選用的是半導(dǎo)體數(shù)碼管,是用發(fā)光二極管(簡(jiǎn)稱(chēng)LED)組成的字形 來(lái)顯示數(shù)字,七個(gè)條形發(fā)光二極管排列成七段組合字形,便構(gòu)成了半導(dǎo)體數(shù)碼 管。半導(dǎo)體數(shù)碼管有共陽(yáng)極和共陰極兩種類(lèi)型。共陽(yáng)極數(shù)碼管的七個(gè)發(fā)光二極 管的陽(yáng)極接在一起,而七個(gè)陰極則是獨(dú)立的。共陰極數(shù)碼管與共陽(yáng)極數(shù)碼管相 反,七個(gè)發(fā)光二極管的陰極接在一起,而陽(yáng)極是獨(dú)立的。當(dāng)共陽(yáng)極數(shù)碼管的某一陰極接低電平時(shí),相應(yīng)的二極管發(fā)光,可根據(jù)字形 使某幾段二極管發(fā)光,所以共陽(yáng)極數(shù)碼管需

25、要輸出低電平有效的譯碼器去驅(qū)動(dòng)。 共陰極數(shù)碼管則需輸出高電平有效的譯碼器去驅(qū)動(dòng)。2、譯碼器原理(74LS47)譯碼為編碼的逆過(guò)程。它將編碼時(shí)賦予代碼的含義“翻譯”過(guò)來(lái)。實(shí)現(xiàn)譯 碼的邏輯電路成為譯碼器。譯碼器輸出與輸入代碼有唯一的對(duì)應(yīng)關(guān)系。74LS47 是輸出低電平有效的七段字形譯碼器,它在這里與數(shù)碼管配合使用,表2列出 了 74LS47的真值表,表示出了它與數(shù)碼管之間的關(guān)系。表2 :輸入輸出顯示數(shù)字符號(hào)LT()RBI() A3 A2 Al AO BI () /RBO ()a() b() c() d() e() f () g()1X00011100111X00101X00111000011X01

26、001100110041X01011010010 0 51X01101X01111X10 0 0000000081X10 0 11111熄滅 1111熄滅0XXXXX1000(1)LT():試燈輸入,是為了檢查數(shù)碼管各段是否能正常發(fā)光而設(shè)置的。 當(dāng)LT()=0時(shí),無(wú)論輸入A3 , A2 , Al , A0為何種狀態(tài),譯碼器輸出均為 低電平,若驅(qū)動(dòng)的數(shù)碼管正常,是顯示8。(2)BI(-):滅燈輸入,是為控制多位數(shù)碼顯示的滅燈所設(shè)置的。BI(-)=0 時(shí)。不論LT()和輸入A3 , A2 , Al, A0為何種狀態(tài),譯碼器輸出均為高電 平,使共陽(yáng)極數(shù)碼管熄滅。(3)RBI():滅零輸入,它是為使不

27、希望顯示的。熄滅而設(shè)定的。當(dāng)對(duì) 每一位A3= A2 =A1 =A0=0時(shí),本應(yīng)顯示0,但是在RBI( )=0作用下,使譯 碼器輸出全為高電平。其結(jié)果和加入滅燈信號(hào)的結(jié)果一樣,將0熄滅。(4)RB0():滅零輸出,它和滅燈輸入BI(一)共用一端,兩者配合使用,可以實(shí)現(xiàn)多位數(shù)碼顯示的滅零控制。3、譯碼器與顯示器的配套使用譯碼是把給定的代碼進(jìn)行翻譯,本設(shè)計(jì)即是將時(shí)、分、秒計(jì)數(shù)器輸出的四 位二進(jìn)制數(shù)代碼翻譯為相應(yīng)的十進(jìn)制數(shù),并通過(guò)顯示器顯示,通常顯示器與譯 碼器是配套使用的。我們選用的七段譯碼驅(qū)動(dòng)器(74LS47)和數(shù)碼管9陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)譯碼顯示電路如圖6所示。ICM7218A譯

28、碼顯水電路(五)校時(shí)電路1、RS觸發(fā)器圖7基本RS觸發(fā)器R() S(一) Q Q() 說(shuō)明 0 1 1 0 1 1 0 0 0 1 ?;? 1 1 0 1或。1置。置1陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)保持原來(lái)狀態(tài)不正常狀態(tài),0信號(hào)消失后,觸發(fā)器狀態(tài)不定五.電路與程序設(shè)計(jì)5.1電路設(shè)計(jì):圖3. 1總體電路圖17eo-e i-oo:!:;EyraitXTAtZ,/3rZJTA II rz.wj.<z,。W0Ml,:IDTX。 ,:B.VT, “2HH0 ,)mn'*TO F34m00-II-日日XTAMXTMZmu三 k,:VAO,Z>«CA。,”<!,ZJ

29、S。ng>»本系統(tǒng)的設(shè)計(jì)電路如圖3.1所示,左邊按鍵控制時(shí)分秒加一減一操作,右邊 開(kāi)關(guān)切換數(shù)碼管顯示時(shí)鐘,秒表,倒計(jì)時(shí)定時(shí)器等功能。單片機(jī)下方按鍵有復(fù) 位功能:當(dāng)在時(shí)鐘方式時(shí),此按鍵有清零作用;當(dāng)在倒計(jì)時(shí)定時(shí)器方式時(shí),此 按鍵按下,時(shí)分秒均付為最大值。右上角是六位一體的數(shù)碼管LED,用于顯示。 數(shù)碼管左邊的上拉電阻具有自動(dòng)拉高P0I/0 口的功能。數(shù)碼管下方的7407芯片 有限流作用,用于防止數(shù)碼管電流過(guò)大而燒毀。5. 2程序設(shè)計(jì)5. 2.1程序流程圖圖5-2-1為主程序流程圖,圖5-2-2為定時(shí)器0程序流程圖,圖5-2-3為 定時(shí)器1程序流程圖。While死循環(huán)初始住陜西航空

30、職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)圖5-2-1主程序流程圖此為主程序流程圖,初始化后,就一直在while里循環(huán),并把秒,分,時(shí) 分別拆成各位和十位兩個(gè)數(shù),放到相應(yīng)的數(shù)碼管上顯示,并檢測(cè)是否有按鍵按 下,和定時(shí)器TO, T1溢出,來(lái)執(zhí)行相應(yīng)的鍵盤(pán)子程序和定時(shí)器0, 1的中斷程 序。陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)圖5. 2. 2定時(shí)器。程序流程圖此為定時(shí)器。流程圖,在主程序檢測(cè)到TO溢出時(shí)執(zhí)行該子程序,該中斷主 要執(zhí)行數(shù)碼管循環(huán)顯示數(shù)字,并檢測(cè)到一秒后秒加一的功能。圖5-2-3定時(shí)器1程序流程圖此為定時(shí)器1流程圖,在主程序檢測(cè)到T1溢出時(shí)執(zhí)行該子程序,該中斷程 序主要執(zhí)行數(shù)碼管循環(huán)顯示數(shù)字,并檢測(cè)

31、到一秒后秒減一的功能。17陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)5. 2. 3系統(tǒng)板上硬件連線(1)把“單片機(jī)系統(tǒng)”區(qū)域中的P1.0P1.7端口用8芯排線連接到“動(dòng)態(tài) 數(shù)碼顯示”區(qū)域中的AH端口上;(2)把“單片機(jī)系統(tǒng):區(qū)域中的P3. 0-P3. 7端口用8芯排線連接到“動(dòng)態(tài) 數(shù)碼顯示”區(qū)域中的S1S8端口上;(3)把“單片機(jī)系統(tǒng)”區(qū)域中的PO.O/ADO、PO. 1/ADK P0.2/AD2端口分別 用導(dǎo)線連接到“獨(dú)立式鍵盤(pán)”區(qū)域中的SP3、SP2、SP1端口上;5. 2. 4.匯編源程序SECONDMINITEHOURHOURKMINITEKSECONDKDISPBUFDISPBITT2SC

32、NTAT2SCNTBTEMPEQU 30HEQU 32HBIT PO. 0BIT PO. 1BIT PO. 2EQU 31HEQU 48HEQU 49HEQU 4AHEQU 40HEQU 4BH#ORG OOHLJMP STARTORG OBHLJMP INT_TOSTART:MOV SECOND, #00HMOV MINITE, #00HMOV HOUR, #12MOV DISPBIT, #00HMOV T2SCNTA, #00HMOV T2SCNTB, #00HMOV TEMP, #OFEHLCALL DISPMOV TMOD, #01HMOV THO, #(65536-2000) / 2

33、56MOV TLO, #(65536-2000) MOD 256SETB TROSETB ETOSETB EAWT:JB SECONDK, NK1LCALL DELY10MSJB SECONDK, NK1INC SECONDMOV A, SECONDCJNE A, #60, NS60MOV SECOND, #00HNS60: LCALL DISPJNB SECONDK, $NK1: JB MINITEK, NK2LCALL DELY10MSJB MINITEK, NK2INC MINITEMOV A, MINITECJNE A, #60, NM60MOV MINITE, #00HNM60: L

34、CALL DISPJNB MINITEK, $NK2:JB HOURK, NK3陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)LCALL DELY10MSJB HOURK, NK3INC HOURMOV A, HOURCJNE A, #24, NH24MOV HOUR, #00HNH24: LCALL DISPJNB HOURK, $NK3:LJMP WTDELY1OMS:MOV R6, #10DI: MOV R7, #248DJNZ R7, $DJNZ R6, DIRETDISP:MOV A, #DISPBUFADD A, #8DEC AMOV RI, AMOV A, HOURMOV B, #10DI

35、V ABMOV R1,ADEC RIMOV A, BMOV ©RI, ADEC RIMOV0R1, ADEC RIMOV A, MINITEMOV B, #10DIV ABMOV ©RI, ADEC RIMOV A, BMOV R1,ADEC RIMOV A, #10MOVeRl, ADEC RIMOV A, SECONDMOV B, #10DIV ABMOV R1,ADEC RIMOV A,BMOV R1,ADEC RIRETINT_TO :MOV THO, #(65536-2000) / 256MOV TLO, #(65536-2000) MOD 256MOV A, #

36、DISPBUFADD A, DISPBITMOV RO, AMOV A, R0#陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)MOV DPTR, #TABLEMOVC A, 0A+DPTRMOV Pl, AMOV A, DISPBITMOV DPTR, #TABMOVC A, 0A+DPTRMOV P3,AINC DISPBITMOV A, DISPBITCJNE A, #08H, KNAMOV DISPBIT, #00HKNA:INC T2SCNTAMOV A, T2SCNTACJNE A, #100, DONEMOV T2SCNTA, #00HINC T2SCNTBMOV A, T2SCNTBCJN

37、E A, #05H, DONEMOV T2SCNTB, #00H INC SECONDMOV A, SECONDCJNE A, #60, NEXTMOV SECOND, #00H INC MINITEMOV A, MINITECJNE A, #60, NEXTMOV MINITE, #00HINC HOURCJNE A, #24, NEXTMOV HOUR, #00HNEXT: LCALL DISPDONE: RETITABLE : DB 3FH, 06H, 5BH, 4FH, 66H, 6DH, 7DH, 07H, 7FH, 6FH, 40HTAB:DB OFEH, OFDH, OFBH,

38、OF7H, OEFH, ODFH, OBFH, O7FHEND5. 2. 5定時(shí)器。中斷程序:void timerO() interrupt 1 (if(p32=l)j=610;elsej=10;重新初始如THO=(65536-10001)/256;化TOTLO=(65536-10001)%256;t+;to+; if(P2=0xdf)果數(shù)碼管顯示到第6個(gè)下一個(gè)顯示第1個(gè)數(shù)碼管251101 1111 -> 0111 1111 -> 1111 P2=0x7f;1110秒分時(shí)計(jì)算if (ss=60)ss 為 60, ss=0,同時(shí) mm+1若ss=00; mm+;)if (mm=60)

39、mm 為 60, mm=0,同時(shí) hh+1若mm=00; hh+;if (hh=24)hh 為 24, hh=0若hh=00;數(shù)碼管動(dòng)態(tài)顯示,t=02時(shí)左移一位,并顯示那一位相應(yīng)的值if(t0=2)陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)tO=O;/P2循環(huán)左移一位 zy二P2;zy=_crol_(zy, 1);P2=zy;相應(yīng)數(shù)碼管顯示相應(yīng)的數(shù)值29PO=duanjPO=duanjif(P2=0xfe)PO=duan_mas_ge; if(P2=0xfd)PO=duan_mas_shi; if (P2=0xfb)m_ge+10;if(P2=0xf7)PO=duan_mam_shi;if (P2=

40、0xef)h_ge+10;if(P2=0xdf)PO=duan_maEh_shi;if (t=j) (t=0;if (p31=l) ss+;) if(t>611) t=0;if(p30=0)轉(zhuǎn)到timer 1上去(ETO=O;TRO=O;ET1=1;TR1=1; t=0; ) 5. 2. 6定時(shí)器1中斷程序 void timer1() interrupt 3j=610;if(ds=l)mm=59;if (ds0)ds=0;elseds一;TH1=(65536-10001)/256;重新初始化T1TL1=(65536-10001)%256;t+;to+;if(P2=0xdf)如果數(shù)碼管顯示

41、到第6個(gè)下一個(gè)顯示第1個(gè)數(shù)碼管1101 1111 -> 0111 1111 -> 1111 1110P2=0x7f;秒分時(shí)計(jì)算若若if (ss=-l)ss 為 60, ss=0,同時(shí) mm+1(ss=59;mm;)if (mm=-l)陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)mm 為 60, mm=0,同時(shí) hh+1mm=59;hh;數(shù)碼管動(dòng)態(tài)顯示,長(zhǎng)02時(shí)左移一位,并顯示那一位相應(yīng)的值if(t0=2)to=o;/P2循環(huán)左移一位zy=P2;zy=_crol_(zy, 1);P2=zy;相應(yīng)數(shù)碼管顯示相應(yīng)的數(shù)值if(P2=0xfe)P0=duan_mas_ge;if (P2Oxf d)P

42、O=duan_maEs_shi;if (P2=0xfb)P0z:duan_mam_ge+10;if(P2=0xf7)PO=duan_mani_shi;if (P2=0xef)P0=duan_mah_ge+10;if (P2=0xdf)PO=duan_mah_shi;if (t=j)(t=0;if (p31=l)if(ss|hh|mm)!=0)ss一;if(t>611)t=0;31陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)if(p30=l)/轉(zhuǎn)到timer 1上去(ET1=O;TR1=O;ETO=1;TRO=1; t=0; 5. 2. 7鍵盤(pán)子程序 void jian_pan () (uint

43、temp;temp二Pl; switch(temp) (caseOxfe:秒個(gè)位加1while(Pl!=0xff)if (ss-59) ss=OO;else ss+; break; caseOxfd:秒個(gè)位減1(while(Pl!=0xff)()if (ss=00) ss=59;elsess;) break; caseOxfb:分個(gè)位加1#陜西航空職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)while(Pl!=Oxff)if(mm=59) mm=OO;elsemm+;分個(gè)位減1 break; case0xf7:(while(Pl!=Oxff)()if (mm=OO) mm=59;elsemm;)break;c

44、aseOxef:時(shí)個(gè)位加1(while(Pl!=Oxff)()if (hh23) hh=OO;else hh+;)break;caseOxdf:時(shí)個(gè)位減1(while(Pl!=Oxff)()if (hh=OO) hh=23;elsehh;break;case Oxbf:(ss=O;)break;case 0x7f:(while(Pl!=Oxff)()if (p30=l) hh=0;mm=0;ss=0; elsehh=23;mm=59;ss=59;)break;)六.仿真結(jié)果分析此時(shí)鐘設(shè)計(jì)是利用protues仿真軟件進(jìn)行仿真。基本上實(shí)現(xiàn)了要求的功能, 該多功能數(shù)字鐘實(shí)現(xiàn)的時(shí)鐘顯示、鬧鐘設(shè)置和秒表

45、功能,校準(zhǔn)時(shí)鐘時(shí)只設(shè)計(jì)了 時(shí)加鍵和分加鍵以及清零鍵,沒(méi)有設(shè)置減分減時(shí)鍵??砂l(fā)通過(guò)循環(huán)調(diào)節(jié)得到正 確的調(diào)節(jié)數(shù)值。另外,要求有一段樂(lè)曲來(lái)作為鬧鐘,可這里只是用了一個(gè)二級(jí) 管的發(fā)光來(lái)實(shí)現(xiàn)這個(gè)功能。若用一個(gè)揚(yáng)聲器和一個(gè)樂(lè)曲能夠?qū)崿F(xiàn)。39七.結(jié)束語(yǔ)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問(wèn)題, 鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程,隨著 科學(xué)技術(shù)發(fā)展的日新日異,單片機(jī)已經(jīng)成為當(dāng)今計(jì)算機(jī)應(yīng)用中空前活躍的領(lǐng)域。本系統(tǒng)是以單片機(jī)AT89C52芯片為核心部件實(shí)現(xiàn)了時(shí)鐘、倒計(jì)時(shí)、秒表的 功能。此次在軟件上是花費(fèi)時(shí)間最多的,我們上網(wǎng)找資料,上圖書(shū)館,盡可能 的了解有關(guān)于單片機(jī)這方面的知識(shí)。通過(guò)這次課程設(shè)計(jì),使我得到了一次用專(zhuān) 業(yè)知識(shí)、專(zhuān)業(yè)技能分析和解決問(wèn)題全面系統(tǒng)的鍛煉。使我初步了解了單片機(jī)的 原理,學(xué)會(huì)了使用仿真軟件進(jìn)行仿真,以及在常用編程設(shè)計(jì)思路技巧(特別

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論