MSP430單片機試驗報告_第1頁
MSP430單片機試驗報告_第2頁
MSP430單片機試驗報告_第3頁
MSP430單片機試驗報告_第4頁
MSP430單片機試驗報告_第5頁
已閱讀5頁,還剩2頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、MSP430單片機實驗報告專業(yè):姓名:學號:MSP430單片機實驗報告設計目標:使8位數碼管顯示“ 5202114. ,深入了解串行數據接口.實現過程:主要分為主函數、驅動 8位數碼管函數、驅動 1位數碼管函數及延時函數.延時函數:采用for循環(huán).驅動1位數碼管子函數:設置74HC164的時鐘傳輸和數傳輸, 聲明變量,使數據表中每一個要表 示的字符的每一位都與 shift做與運算從而進行傳輸,上升沿將傳輸數據傳送出去.驅動 1位數碼管子函數的流程圖如圖 1所示.設置時鐘和 數據傳輸for循環(huán)逐個發(fā)送8位圖1驅動1位數碼管子函數流程圖驅動8位數碼管子函數:調用 8次驅動1位數碼管子函數.驅動 8

2、位數碼管子函數流程圖如圖 2所示.調用8次一 位數碼管圖2驅動8位數碼管流程圖主函數:設置IO 口,while死循環(huán)中調用驅動 8位.主函數流程圖如圖3所示.可編輯while圖3主函數流程圖實驗結果:供電后,數碼管顯示“ 5202114. 字樣./*源程序:程序名稱:5202114.*/*程序功能:通過模擬同步串口限制8個共陽數碼管*/*P5.1數據管腳,P5.3同步時鐘管腳*/頭文件/聲明驅動1位數碼管函數#include void delay(void);/聲明延遲函數void seg7_1 (unsigned char seg7_data);void seg7_8 ( unsigned

3、char seg7_data7,unsigned char seg7_data6,unsigned char seg7_data5,unsigned char seg7_data4,unsigned char seg7_data3,unsigned char seg7_data2,unsigned char seg7_data0);const unsigned char decoder_seg7=0x92,0xa4,0xc0,0xf9,0xb0,0xf9,0x99,0x7f ;int main(void)WDTCTL=WDTPW+WDTHOLD;P5SEL&=BIT1;P5DIR|=BIT1;

4、P5SEL&=BIT3;P5DIR|=BIT3;while(1)seg7_8 (7,6,5,4,3,2,1,0);delay ( );void seg7_8 (unsigned char seg7_data7,unsigned char seg7_data6,unsigned char seg7_data5,unsigned char seg7_data4,/聲明驅動8位數碼管函數/數碼管顯示表【5202114./主函數/關閉看門狗/設置P5.1端口為并行數字輸入/輸出口/設置P5.1端口為輸出口/設置P5.3端口為并行數字輸入/輸出口/設置P5.3端口為輸出口/重復執(zhí)行/調用驅動8位數碼管函

5、數/延時unsigned char seg7_data3,unsigned char seg7_data1, unsigned char seg7_data0)/驅動8位數碼管的同步串行數據接口驅動函數seg7_1(seg7_data0);/調用1位數碼管的同步串行數據接口驅動函數seg7_1(seg7_data1);seg7_1(seg7_data2);seg7_1(seg7_data3);seg7_1(seg7_data4);seg7_1(seg7_data5);seg7_1(seg7_data6);seg7_1(seg7_data7);void seg7_1 (unsigned char seg7_data)/驅動1位數碼管的同步串行數據接口驅動函數unsigned char code_seg7;/聲明顯示代碼變量unsigned char a;/聲明循環(huán)變量unsigned char shift;/聲明串行數據位存儲變量code_seg7=decoder_seg7seg7_data;/顯示數據譯碼/同步串行接口初始化P5OUT&=BIT1;P5OUT&=BIT3;shift=0x80;for(a=0; a1;void delay (void)/(/ P5.1 輸出低電平/ P5.3 輸出低電平/串行數據位指向8位數據的最高位/判斷顯示代碼位的狀態(tài)/ P

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論