第5章 數(shù)字電子技術(shù)_第1頁
第5章 數(shù)字電子技術(shù)_第2頁
第5章 數(shù)字電子技術(shù)_第3頁
第5章 數(shù)字電子技術(shù)_第4頁
第5章 數(shù)字電子技術(shù)_第5頁
已閱讀5頁,還剩64頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、第第5章章 觸發(fā)器觸發(fā)器第第5章章 觸發(fā)器觸發(fā)器5.1 概述概述5.2 基本基本RS觸發(fā)器(鎖存器)觸發(fā)器(鎖存器)5.3 同步時鐘觸發(fā)器同步時鐘觸發(fā)器5.4 主從時鐘觸發(fā)器主從時鐘觸發(fā)器5.5 邊沿觸發(fā)器邊沿觸發(fā)器5.6 集成觸發(fā)器的使用集成觸發(fā)器的使用5.1 概述概述What?Why?存儲存儲1 1位二值信號位二值信號的基本單元電路的基本單元電路。記憶功能,保存信號及運(yùn)算結(jié)果。記憶功能,保存信號及運(yùn)算結(jié)果。(功能功能)5.1 概述概述基本特點(diǎn):基本特點(diǎn):3. 在輸入信號消失后,能將獲得的新狀態(tài)在輸入信號消失后,能將獲得的新狀態(tài)保保存存下來下來。2. 根據(jù)不同的輸入信號可以根據(jù)不同的輸入信號

2、可以置置成成1或或0狀態(tài)狀態(tài)。1.具有兩個穩(wěn)定邏輯狀態(tài)具有兩個穩(wěn)定邏輯狀態(tài)0和和1;5.1 概述概述分類分類按按觸發(fā)方式觸發(fā)方式分分1.電平觸發(fā)電平觸發(fā)2. 脈沖觸發(fā)脈沖觸發(fā)3. 邊沿觸發(fā)邊沿觸發(fā)5.1 概述概述分類分類按按邏輯功能邏輯功能分分1.RS觸發(fā)器觸發(fā)器2.JK觸發(fā)器觸發(fā)器3.D觸發(fā)器觸發(fā)器4.T觸發(fā)器觸發(fā)器5.T觸發(fā)器觸發(fā)器5.1 概述概述分類分類按按存儲原理存儲原理分分1.靜態(tài)觸發(fā)器自鎖存儲靜態(tài)觸發(fā)器自鎖存儲2.動態(tài)觸發(fā)器動態(tài)觸發(fā)器MOS柵極電容充放電柵極電容充放電無電荷為無電荷為1有電荷為有電荷為05.2 基本基本RS觸發(fā)器(鎖存器)觸發(fā)器(鎖存器)一、電路結(jié)構(gòu)和邏輯符號一、電

3、路結(jié)構(gòu)和邏輯符號邏輯符號邏輯符號1狀態(tài)狀態(tài)Q=1,Q=00狀態(tài)狀態(tài)Q=0,Q=1置位端、置位端、置置1端端復(fù)位端、復(fù)位端、置置0端端一、用與非門組成的一、用與非門組成的RS鎖存器鎖存器1. 電路結(jié)構(gòu)電路結(jié)構(gòu) R: 置置0輸入端輸入端 S: 置置1 1輸入端輸入端置置0 0端端置置1 1端端低電平有低電平有效效RG&GQ2Q&1SQSQR邏輯符號邏輯符號5.2 基本基本RS觸發(fā)器(鎖存器)觸發(fā)器(鎖存器)2. 邏輯功能邏輯功能Qn+1R S功能功能Qn功能表功能表011100置置0 000R稱為置稱為置0輸入端輸入端低電平有效低電平有效 0 1011 0011 1010 001QSQ RQS R

4、QS 輸出輸出輸出輸出(次態(tài))(次態(tài))nn+1(原態(tài))(原態(tài))(現(xiàn)態(tài))現(xiàn)態(tài))置置0 0端端置置1 1端端0態(tài)態(tài)RG&GQ2Q&1SQn+1R S功能功能Qn功能表功能表100011置置1 111S稱為置稱為置1輸入端輸入端低電平有效低電平有效 0 1011 0011 1010 00100置置0 0QSQ RQS RQS nn+1置置0 0端端置置1 1端端1態(tài)態(tài)RG&GQ2Q&1SQn+1R S功能功能Qn功能表功能表 0 1置置0 000011 0置置1 111011 1111100001011Qn+1Qn保持保持0 001QSQ RQS RQS nn+1置置0 0端端置置1 1端端RG&G

5、Q2Q&1SQn+1R S功能功能Qn功能表功能表 0 1置置0 000011 0置置1 111011 1001111011011Qn+1Qn保持保持0 0不定不定01?QSQ RQS RQS nn+1置置0 0端端置置1 1端端RG&GQ2Q&1SRSQQ3. 波形分析波形分析 在用與非門組成的在用與非門組成的RS鎖存器中,設(shè)初始狀態(tài)為鎖存器中,設(shè)初始狀態(tài)為0,已知輸入,已知輸入R、S的波形圖,畫出兩輸出端的波形圖。的波形圖,畫出兩輸出端的波形圖。Qn+1R S功能功能Qn功能表功能表 0 1置置1 111011 0置置0 000011 101不定不定0 0保持保持0101邏輯功能:邏輯功能

6、:1000110二、用或非門組成的二、用或非門組成的RS鎖存器鎖存器S仍然稱為置仍然稱為置1輸入端,但為高電平有效。輸入端,但為高電平有效。R仍然稱為置仍然稱為置0輸入端,也為高電平有效輸入端,也為高電平有效。QS2G1GR1111Q 邏輯符號:邏輯符號:QQRS波形分析:波形分析:RS 由于該鎖存器的觸發(fā)信號由于該鎖存器的觸發(fā)信號是高電平有效,因此在邏輯符號是高電平有效,因此在邏輯符號的輸入端處沒有小圓圈。的輸入端處沒有小圓圈。QQ高電平有效高電平有效基本基本RSRS鎖存器的特點(diǎn)總結(jié):鎖存器的特點(diǎn)總結(jié):(1 1)有兩個互補(bǔ)的輸出端,有兩個穩(wěn)定的狀態(tài)。)有兩個互補(bǔ)的輸出端,有兩個穩(wěn)定的狀態(tài)。(

7、2 2)有復(fù)位()有復(fù)位(Q=0Q=0)、置位()、置位(Q=1Q=1)、保持原狀態(tài)三種功)、保持原狀態(tài)三種功能。能。(3 3)R R為復(fù)位輸入端,為復(fù)位輸入端,S S為置位輸入端,可以是低電平有為置位輸入端,可以是低電平有效,也可以是高電平有效,取決于觸發(fā)器的結(jié)構(gòu)。效,也可以是高電平有效,取決于觸發(fā)器的結(jié)構(gòu)。(4 4)由于反饋線的存在,無論是復(fù)位還是置位,有效信)由于反饋線的存在,無論是復(fù)位還是置位,有效信號只需要作用很短的一段時間,即號只需要作用很短的一段時間,即“一觸即發(fā)一觸即發(fā)”。5.3 同步時鐘觸發(fā)器同步時鐘觸發(fā)器Why?How?為協(xié)調(diào)各部分的工作,常常要求某些為協(xié)調(diào)各部分的工作,常

8、常要求某些電路電路同時同時工作。工作。需要一個需要一個同步信號同步信號(觸發(fā)信號)叫做(觸發(fā)信號)叫做時鐘時鐘信號(信號(CLOCK),簡稱時鐘,用,簡稱時鐘,用CLK或或CP表示。表示。1電路結(jié)構(gòu)電路結(jié)構(gòu)QQ1S1R C1CP&CP3G4G&GG12QQSR不加不加o表示表示高高電平電平有效有效2邏輯功能邏輯功能當(dāng)當(dāng)CP0時,控制門時,控制門G3、G4關(guān)閉,鎖存器的狀態(tài)保持不變。關(guān)閉,鎖存器的狀態(tài)保持不變。當(dāng)當(dāng)CP1時,時,G3、G4打開,其輸出狀態(tài)由打開,其輸出狀態(tài)由R、S端的輸入信號決定。端的輸入信號決定。該鎖存器的狀態(tài)轉(zhuǎn)換分別由該鎖存器的狀態(tài)轉(zhuǎn)換分別由R、S和和CP控制,其中,控制,其

9、中,R、S控制狀態(tài)轉(zhuǎn)換控制狀態(tài)轉(zhuǎn)換的方向;的方向;CP控制狀態(tài)轉(zhuǎn)換的時刻。控制狀態(tài)轉(zhuǎn)換的時刻。Qn+1R S功能功能Qn功能表功能表 0 1 0 1置置1 11101 1 0 1 0置置0 000011 11 101不定不定0 00 0保持保持01011001101&CP3G4G&GG12QQSR 波形圖波形圖 已知同步已知同步RS觸發(fā)器的輸入波形,畫出輸出波形圖。觸發(fā)器的輸入波形,畫出輸出波形圖。SRCPQQR、S控制狀態(tài)轉(zhuǎn)換的方向;控制狀態(tài)轉(zhuǎn)換的方向;CP控制狀態(tài)轉(zhuǎn)換的時刻??刂茽顟B(tài)轉(zhuǎn)換的時刻。103. 預(yù)置功能預(yù)置功能異步置異步置1端端異步置異步置0端端不受時鐘的控制不受時鐘的控制動作特

10、點(diǎn)總結(jié):動作特點(diǎn)總結(jié):當(dāng)當(dāng)CLK0,輸出保持,輸出保持;當(dāng)當(dāng)CLK1,輸出隨,輸出隨S、R變而變。變而變。這種控制方式稱為這種控制方式稱為高電平高電平觸發(fā)方式。觸發(fā)方式。加圈為低電平加圈為低電平觸發(fā)方式。觸發(fā)方式。當(dāng)當(dāng)CLK1, 輸出保持輸出保持;當(dāng)當(dāng)CLK0,輸出隨輸出隨S、R變而變。變而變。4D鎖存器鎖存器RS鎖存器的缺點(diǎn):輸出有不定狀態(tài)。鎖存器的缺點(diǎn):輸出有不定狀態(tài)。設(shè):設(shè):D=111010設(shè):設(shè):D=001010為此將為此將R、S端用一非門反相,變成端用一非門反相,變成一個輸入端一個輸入端D端。端。 D鎖存器的功能表鎖存器的功能表Q Q置置0置置10 11 01 0 1 1 保持保持不

11、變不變 0 功能功能CP D1DQQC1CP邏輯符號邏輯符號S1GCPGG24&6Q&D5&G&G13RG1Q不加不加o表示表示高高電平電平有效有效2CP1DQ 波形圖波形圖 已知已知D鎖存器的輸入波形,鎖存器的輸入波形, 畫出輸出波形圖。畫出輸出波形圖。鎖存鎖存鎖存鎖存鎖存鎖存透明透明透明透明當(dāng)當(dāng)CP0時,時,Q狀態(tài)被鎖存。狀態(tài)被鎖存。當(dāng)當(dāng)CP1時,時,Q總是隨著總是隨著D狀態(tài)的變化而變換。狀態(tài)的變化而變換。所以所以D鎖存器又被稱為鎖存器又被稱為“透明鎖存器透明鎖存器”。S1GCPGG24&6Q&D5&G&G13RG1Q特點(diǎn):特點(diǎn):多次翻轉(zhuǎn),抗干擾性很差多次翻轉(zhuǎn),抗干擾性很差QC10CPQQ

12、C1QQC171D1D1Q0DD11DD7 鎖存器的應(yīng)用鎖存器的應(yīng)用計算機(jī)輸出口計算機(jī)輸出口 當(dāng)計算機(jī)需要向外部端口輸出數(shù)據(jù)時,首先在數(shù)據(jù)線上給出需要當(dāng)計算機(jī)需要向外部端口輸出數(shù)據(jù)時,首先在數(shù)據(jù)線上給出需要輸出的數(shù)據(jù),然后給出一個高電平的鎖存脈沖輸出的數(shù)據(jù),然后給出一個高電平的鎖存脈沖CP,該脈沖將數(shù)據(jù)線,該脈沖將數(shù)據(jù)線上的數(shù)據(jù)鎖存到上的數(shù)據(jù)鎖存到D鎖存器。鎖存器。數(shù)據(jù)總線數(shù)據(jù)總線鎖存脈沖鎖存脈沖5.4 主從時鐘觸發(fā)器主從時鐘觸發(fā)器目的:目的:為了提高觸發(fā)器工作的為了提高觸發(fā)器工作的可靠性可靠性,希望在每一,希望在每一個個CLK周期里輸出端的狀態(tài)周期里輸出端的狀態(tài)只能改變一次只能改變一次。 在

13、電平觸發(fā)器的基礎(chǔ)上又設(shè)計出了在電平觸發(fā)器的基礎(chǔ)上又設(shè)計出了脈脈沖沖觸發(fā)器(也稱觸發(fā)器(也稱主從主從觸發(fā)器)。觸發(fā)器)。實現(xiàn):實現(xiàn): 時鐘信號與觸發(fā)器時鐘信號與觸發(fā)器 1. 時鐘信號時鐘信號 跳變沿有效跳變沿有效 當(dāng)由當(dāng)由0跳變成跳變成1,稱為上升沿。,稱為上升沿。 當(dāng)由當(dāng)由1跳變成跳變成0,稱為下降沿。,稱為下降沿。 上升沿上升沿下降沿下降沿2. 空翻空翻在一個在一個CP周期內(nèi)多于一次的翻轉(zhuǎn)周期內(nèi)多于一次的翻轉(zhuǎn) 2E1DQ 有效翻轉(zhuǎn)有效翻轉(zhuǎn) 空翻空翻只在時鐘信號跳變沿改變只在時鐘信號跳變沿改變狀態(tài)且沒有空翻的記憶元狀態(tài)且沒有空翻的記憶元件稱為觸發(fā)器。件稱為觸發(fā)器。 觸發(fā)器的結(jié)構(gòu)觸發(fā)器的結(jié)構(gòu)在鎖

14、存器的基礎(chǔ)上加上適當(dāng)?shù)目刂凭€或控制電路。在鎖存器的基礎(chǔ)上加上適當(dāng)?shù)目刂凭€或控制電路。5.4.1 主從主從RS觸發(fā)器觸發(fā)器 由兩級門控由兩級門控RS鎖存器串聯(lián)組成。鎖存器串聯(lián)組成。 CP 與與CP互補(bǔ),使兩個鎖存器輪流工作?;パa(bǔ),使兩個鎖存器輪流工作。1 1電路結(jié)構(gòu)電路結(jié)構(gòu)CPQC1 1SQ1R1SC11R1S1R C1R1CP從鎖存器從鎖存器Q QQQSCP 主鎖存器主鎖存器下降沿下降沿觸發(fā)觸發(fā)Qn+1R S功能功能Qn功能表功能表 0 1 0 1置置1 11101 1 0 1 0置置0 000011 11 101不定不定0 00 0保持保持0101012工作原理工作原理主從觸發(fā)器的觸發(fā)翻轉(zhuǎn)分

15、為兩個節(jié)拍:主從觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個節(jié)拍:(1)當(dāng))當(dāng)CP1時,時,CP0, “主主”動作動作 “從從”鎖存鎖存(2)當(dāng))當(dāng)CP 時,即時,即CP 0、CP1。 “從從”動作動作 “主主”鎖存鎖存 10101001SC11R1S1R C1R1CP從鎖存器從鎖存器Q QQQSCP 主鎖存器主鎖存器RSCPQQ 有效翻轉(zhuǎn)有效翻轉(zhuǎn)克服空翻的原理:克服空翻的原理:&GCP器器G&G6G 9G1&2 鎖鎖鎖鎖G&器器主主G存存存存4G3QCPQRQ從從&S8Q57G1動作特點(diǎn)動作特點(diǎn)延遲輸出延遲輸出下降沿觸發(fā)下降沿觸發(fā)上升沿觸發(fā)上升沿觸發(fā)3觸發(fā)器功能的幾種表示方法觸發(fā)器功能的幾種表示方法 (1)特性

16、方程)特性方程由功能表畫出卡諾圖得特性方程:由功能表畫出卡諾圖得特性方程:Qn+1R S功能功能Qn功能表功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)1101 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)00011 11 101不定不定0 00 0保持保持0101nnQRSQ 101Q1011RS10101000Qn+11n00 RS(約束條件)(約束條件)(2)狀態(tài)轉(zhuǎn)換圖)狀態(tài)轉(zhuǎn)換圖 狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖體現(xiàn)觸發(fā)器從一個狀態(tài)變化到另一個狀態(tài)體現(xiàn)觸發(fā)器從一個狀態(tài)變化到另一個狀態(tài)或保持原狀不變時,對輸入信號的要求?;虮3衷瓲畈蛔儠r,對輸入信號的要求。Qn+1R S功能功能Qn功能

17、表功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)1101 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)00011 11 101不定不定0 00 0保持保持0101S=1S=R=S=S=R=0001R=010R= (3)驅(qū)動表)驅(qū)動表 驅(qū)動表是用表格的方式表示觸發(fā)器從一個狀態(tài)變化到另驅(qū)動表是用表格的方式表示觸發(fā)器從一個狀態(tài)變化到另一個狀態(tài)或保持原狀態(tài)不變時,對輸入信號的要求。一個狀態(tài)或保持原狀態(tài)不變時,對輸入信號的要求。Qn+1R S功能功能Qn功能表功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)1101 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同S S狀態(tài)狀態(tài)00011 11

18、101不定不定0 00 0保持保持01010 00 11 01 1Qn Qn+1 00 11 00 R S RS觸發(fā)器的驅(qū)動表觸發(fā)器的驅(qū)動表 5.4.2 5.4.2 主從主從JK觸發(fā)器觸發(fā)器RS觸發(fā)器的缺點(diǎn):使用時有約束條件觸發(fā)器的缺點(diǎn):使用時有約束條件1電路結(jié)構(gòu)電路結(jié)構(gòu)S=JQR=KQ1SC11R1S1R C1K CP1CPQ QQQ&J1G2G1SC11R1S1R C1R1CP從鎖存器從鎖存器Q QQQSCP 主鎖存器主鎖存器2 2工作原理工作原理Qn+1J K功能功能QnJK觸發(fā)器功能表觸發(fā)器功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同J狀態(tài)狀態(tài)0001 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同

19、J狀態(tài)狀態(tài)11011 11 101100 00 0Qn1 = Qn0101Qn1 = Qn11100110101010CPQ1J1KQC11SC11R1S1RC1K CP1CPQ QQQ&J1G2G3JK觸發(fā)器邏輯功能的幾種表示方法觸發(fā)器邏輯功能的幾種表示方法(1(1)功能表:)功能表:(2 2)特性方程:)特性方程:nnnQKQJQ 1110100J00111Kn0100QQn+1101Qn+1J K功能功能QnJK觸發(fā)器功能表觸發(fā)器功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同J J狀態(tài)狀態(tài)0001 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同J J狀態(tài)狀態(tài)11011 11 101100 00 0Qn1

20、 = Qn0101Qn1 = Qn(3)狀態(tài)轉(zhuǎn)換圖)狀態(tài)轉(zhuǎn)換圖(4)驅(qū)動表)驅(qū)動表0 00 11 01 1Qn Qn+10 1 1 0J K JKJK觸發(fā)器的驅(qū)動表觸發(fā)器的驅(qū)動表 Qn+1J K功能功能QnJK觸發(fā)器觸發(fā)器功能表功能表 0 1 0 1輸出狀態(tài)輸出狀態(tài)同同J J狀態(tài)狀態(tài)0001 1 0 1 0輸出狀態(tài)輸出狀態(tài)同同J J狀態(tài)狀態(tài)11011 11 101100 00 0保持保持010101J=K=0J=K=1K=J=K=01J=Qn1 = Qn例例 已知主從已知主從JK觸發(fā)器觸發(fā)器J、K的波形如圖所示,畫出輸出的波形如圖所示,畫出輸出Q的波形圖(的波形圖(設(shè)初始狀態(tài)為設(shè)初始狀態(tài)為0)

21、。)。在畫主從觸發(fā)器的波形圖時,應(yīng)注意以下兩點(diǎn):在畫主從觸發(fā)器的波形圖時,應(yīng)注意以下兩點(diǎn):(1 1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時鐘脈沖的觸發(fā)沿(這里是下降沿)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時鐘脈沖的觸發(fā)沿(這里是下降沿)61KCPJ5423Q(2 2)判斷觸發(fā)器次態(tài)的依據(jù)是時鐘脈沖下降沿前一瞬間輸入端的狀態(tài))判斷觸發(fā)器次態(tài)的依據(jù)是時鐘脈沖下降沿前一瞬間輸入端的狀態(tài)。(5)波形圖)波形圖5.4.3 主從主從T觸發(fā)器和觸發(fā)器和T觸發(fā)器觸發(fā)器將將JK觸發(fā)器的觸發(fā)器的J和和K相連作為相連作為T輸入端就構(gòu)成了輸入端就構(gòu)成了T觸發(fā)器觸發(fā)器。nnnQTQTQ 1T觸發(fā)器特性方程:觸發(fā)器特性方程:0 00 11 01 1

22、 T Qn 0 1 1 0 Qn+1 功能功能 T觸發(fā)器的功能表觸發(fā)器的功能表 Qn+1= QnQn+1= Qn1JQCPC1Q1KTQQC1 1T 當(dāng)當(dāng)T觸發(fā)器的輸入端為觸發(fā)器的輸入端為T=1時時, 稱為稱為T觸發(fā)器。觸發(fā)器。nnQQ 1T觸發(fā)器的特性方程:觸發(fā)器的特性方程:計數(shù)狀態(tài)計數(shù)狀態(tài)CPQ1CPQQC1 1T1主從主從JK觸發(fā)器存在的問題觸發(fā)器存在的問題一次變化現(xiàn)象一次變化現(xiàn)象例例 已知主從已知主從JK觸發(fā)器觸發(fā)器J、K的波形如圖所示,畫出輸出的波形如圖所示,畫出輸出Q的波形圖(設(shè)初始的波形圖(設(shè)初始狀態(tài)為狀態(tài)為0)。)。KJCPQ011001110101 0011001SC11R1

23、S1R C1K CP1CPQ QQQ&J1G2G由此看出,主從由此看出,主從JK觸發(fā)器在觸發(fā)器在CP=1期間,主觸發(fā)器只變化(翻轉(zhuǎn))一次,期間,主觸發(fā)器只變化(翻轉(zhuǎn))一次,這種現(xiàn)象稱為這種現(xiàn)象稱為一次變化現(xiàn)象一次變化現(xiàn)象。5.4.4 主從觸發(fā)器工作特點(diǎn)主從觸發(fā)器工作特點(diǎn)2. 主從觸發(fā)方式的動作特點(diǎn)主從觸發(fā)方式的動作特點(diǎn)1)觸發(fā)器的翻轉(zhuǎn)分兩步動作)觸發(fā)器的翻轉(zhuǎn)分兩步動作 CLK1,主觸發(fā)器接收信號,主觸發(fā)器接收信號 CLK 期間,從觸發(fā)器動作;期間,從觸發(fā)器動作;2)CLK1期內(nèi),輸入信號對主觸發(fā)器的控制作用。期內(nèi),輸入信號對主觸發(fā)器的控制作用。CLK1若若JK都沒變,則只要看都沒變,則只要看

24、時的輸入信號時的輸入信號若若JK變了,則記住變了,則記住一次翻轉(zhuǎn)一次翻轉(zhuǎn)的特點(diǎn)的特點(diǎn) 對對JK,具有,具有一次翻轉(zhuǎn)一次翻轉(zhuǎn)的特點(diǎn)的特點(diǎn) 對對RS,輸入信號都對主觸發(fā)器起控制作用,輸入信號都對主觸發(fā)器起控制作用例例5.5 邊沿觸發(fā)器邊沿觸發(fā)器目的:目的:為了提高觸發(fā)器的為了提高觸發(fā)器的可靠性可靠性,增強(qiáng)抗干擾能,增強(qiáng)抗干擾能力,希望觸發(fā)器的次態(tài)僅僅取決于力,希望觸發(fā)器的次態(tài)僅僅取決于CLK信號下降信號下降沿(或上升沿)到達(dá)時刻輸入信號的狀態(tài)。沿(或上升沿)到達(dá)時刻輸入信號的狀態(tài)。方案:方案:邊沿觸發(fā)邊沿觸發(fā)(edge-triggered)的觸發(fā)器。)的觸發(fā)器。種類:種類:電平觸發(fā)的電平觸發(fā)的D觸

25、發(fā)器構(gòu)成的邊沿觸發(fā)器觸發(fā)器構(gòu)成的邊沿觸發(fā)器*維持阻塞觸發(fā)器維持阻塞觸發(fā)器*利用門電路傳輸延遲時間的邊沿觸發(fā)器利用門電路傳輸延遲時間的邊沿觸發(fā)器(1)D鎖存器:鎖存器:缺點(diǎn):缺點(diǎn):有空翻現(xiàn)象。有空翻現(xiàn)象。設(shè):設(shè):D=111010設(shè):設(shè):D=001010S1GEGG24&6Q&D5&G&G13RG1Q5.5.1 維持維持阻塞邊沿阻塞邊沿D觸發(fā)器觸發(fā)器(2 2)用)用“維持維持阻塞阻塞”結(jié)構(gòu)克服空翻結(jié)構(gòu)克服空翻0011001置置0。設(shè):設(shè):D=0L3稱為置稱為置0維持線。維持線。01 在原電路的基礎(chǔ)上在原電路的基礎(chǔ)上引入三根反饋線。引入三根反饋線。S1GCPGG24&6Q&D5&G&G13RG1Q3

26、L11001101 置置1。設(shè):設(shè):D=100L1稱為置稱為置1維持線。維持線。L2稱為置稱為置0阻塞線。阻塞線。 引入了維持線和阻塞線后,引入了維持線和阻塞線后,使觸發(fā)器克服了空翻。使觸發(fā)器克服了空翻。 觸發(fā)器在觸發(fā)器在CP上升沿觸發(fā)翻上升沿觸發(fā)翻轉(zhuǎn),并且,轉(zhuǎn),并且,CP上升沿前一瞬上升沿前一瞬間的間的D信號決定輸出狀態(tài)信號決定輸出狀態(tài)(具有邊沿觸發(fā)的特點(diǎn))(具有邊沿觸發(fā)的特點(diǎn))。(2 2)用)用“維持維持阻塞阻塞”結(jié)構(gòu)克服空翻結(jié)構(gòu)克服空翻S1GCPGG24&6Q&D5&G&G13RG1Q3LL1L2例例 已知維持已知維持阻塞阻塞D觸發(fā)器的輸入波形,畫出輸出波形圖。觸發(fā)器的輸入波形,畫出輸出

27、波形圖。解:解:在波形圖時,應(yīng)注意以下兩點(diǎn):在波形圖時,應(yīng)注意以下兩點(diǎn):(1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)時刻發(fā)生在)觸發(fā)器的觸發(fā)翻轉(zhuǎn)時刻發(fā)生在CP的上升沿。的上升沿。(2)判斷觸發(fā)器次態(tài)的依據(jù)是)判斷觸發(fā)器次態(tài)的依據(jù)是CP上升沿前一瞬間輸入端上升沿前一瞬間輸入端D的狀態(tài)。的狀態(tài)。24135CPDQ(3)D觸發(fā)器的直接置觸發(fā)器的直接置0和置和置1端端 RD和和SD不受不受CP和和D信號的影信號的影響,具有最高的優(yōu)先級。響,具有最高的優(yōu)先級。 RD SDQn+100不定不定01010111棄權(quán)棄權(quán) RD直接置直接置0端,低電平有效端,低電平有效 SD直接置直接置1端;低電平有效。端;低電平有效。S1GCPG

28、G24&6Q&D5&G&G13RG1Q3LL1L2SDRD(4)邏輯符號)邏輯符號邊沿觸發(fā)邊沿觸發(fā)上升沿觸發(fā)上升沿觸發(fā)下降沿觸發(fā)下降沿觸發(fā)(5)動作特點(diǎn))動作特點(diǎn) Q*僅取決于僅取決于CLK邊沿時的輸入信號,而與之邊沿時的輸入信號,而與之前、后的狀態(tài)無關(guān)。前、后的狀態(tài)無關(guān)。 Q的的變化發(fā)生變化發(fā)生在在CLK的上升沿或下降沿的上升沿或下降沿例例 5.5.3 CMOS傳輸門型傳輸門型邊沿觸發(fā)器邊沿觸發(fā)器1 1電路結(jié)構(gòu)電路結(jié)構(gòu): :由由CMOS邏輯門和邏輯門和CMOS傳輸門組成傳輸門組成TG1TG2TG3TG41G2G3G4GCPCPCPCPCPCPCPCP主鎖存器主鎖存器從鎖存器從鎖存器1111D

29、QQQQ5.5.2 利用門延遲時間的利用門延遲時間的邊沿觸發(fā)器(略)邊沿觸發(fā)器(略)2工作原理工作原理(1)當(dāng))當(dāng)CP1時,時,TG1開通,開通,TG2關(guān)閉。主觸發(fā)器接收關(guān)閉。主觸發(fā)器接收D信號。信號。同時,同時,TG3關(guān)閉,關(guān)閉,TG4開通,從觸發(fā)器保持原狀態(tài)不變。開通,從觸發(fā)器保持原狀態(tài)不變。(2)當(dāng))當(dāng)CP由由1變?yōu)樽優(yōu)?時,時,TG1關(guān)閉,關(guān)閉,TG2開通,主觸發(fā)器自保持。開通,主觸發(fā)器自保持。同時,同時,TG3開通,開通,TG4關(guān)閉,從觸發(fā)器接收主觸發(fā)器的狀態(tài)。關(guān)閉,從觸發(fā)器接收主觸發(fā)器的狀態(tài)。設(shè):設(shè):D=1(原狀態(tài)(原狀態(tài)Qn=0)011101011001觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個節(jié)

30、拍:觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個節(jié)拍:特點(diǎn):特點(diǎn):CPCP下降沿觸發(fā)翻轉(zhuǎn),次態(tài)取決于下降沿前一瞬間的輸入下降沿觸發(fā)翻轉(zhuǎn),次態(tài)取決于下降沿前一瞬間的輸入D D。TG1TG2TG3TG41G2G3G4GCPCPCPCPCPCPCPCP主鎖存器主鎖存器從鎖存器從鎖存器1111DQQQQ3帶有帶有RD端和端和SD端的端的 CMOS觸發(fā)器觸發(fā)器 RD SDQn+100棄權(quán)棄權(quán)01110011不允許不允許100101011000QCPD1GQ1141TGQGGCP2CPCP34TGQCPTGCP3G2CPCPTG111111SDDRC1QQ1DRSSDRD5.5.4 集成鎖存器與觸發(fā)器集成鎖存器與觸發(fā)器一、一

31、、集成觸發(fā)器舉例集成觸發(fā)器舉例1 1TTL主從主從JK觸發(fā)器觸發(fā)器7474LS7272 特點(diǎn):特點(diǎn):(1)有)有3個個J端和端和3個個K端,它們之間是與邏輯關(guān)系。端,它們之間是與邏輯關(guān)系。(2)帶有直接置)帶有直接置0端端RD和直接置和直接置1端端SD,都為低電平有效,不用時應(yīng)接高電平。,都為低電平有效,不用時應(yīng)接高電平。(3)為主從型結(jié)構(gòu),)為主從型結(jié)構(gòu),CP下跳沿觸發(fā)。下跳沿觸發(fā)。RJD2K&11&CPR3S2KKD3QJJSQ1KC11J74LS723DVccQJ25GNDJ1R62J3471KSK13CP2K11D112NC93Q101482高速高速CMOS邊沿邊沿D觸發(fā)器觸發(fā)器74H

32、C74特點(diǎn):特點(diǎn):(1)單輸入端的雙)單輸入端的雙D觸發(fā)器。觸發(fā)器。(2)它們都帶有直接置)它們都帶有直接置0端端RD和直接置和直接置1端端SD,為低電平有效。,為低電平有效。(3)為邊沿觸發(fā)器,)為邊沿觸發(fā)器,CP上升沿觸發(fā)。上升沿觸發(fā)。D2CP1Q2Q1QSC12QR1D2R2SD2DD1D1S1CPD1R11562RVcc2DD1DGND1342CPR1474HC74122Q1CP2SD1Q92D2Q1S1D10731Q81一、觸發(fā)器功能的轉(zhuǎn)換一、觸發(fā)器功能的轉(zhuǎn)換1.用用JK觸發(fā)器轉(zhuǎn)換成其他功能的觸發(fā)器觸發(fā)器轉(zhuǎn)換成其他功能的觸發(fā)器(1)JKD分別寫出分別寫出JK觸發(fā)器和觸發(fā)器和D觸發(fā)器的特性方程觸發(fā)器的特性方程比較得:比較得:畫出邏輯圖:畫出邏輯圖:nnnQKQJQ 1DQn 1)(nnQQD nnDQQD DJ DK 1J1K C1CPDQQ15.6 集成鎖存器與觸發(fā)器的應(yīng)用集成鎖存器與觸發(fā)器的應(yīng)用(2)JKT寫出寫出JK觸發(fā)器和觸發(fā)器和T觸發(fā)器的特性方程:觸發(fā)器的特性方程:比較得:比較得:J=T,K=T。令

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論