步進(jìn)電機(jī)正反轉(zhuǎn)啟停控制的設(shè)計(jì)._第1頁(yè)
步進(jìn)電機(jī)正反轉(zhuǎn)啟停控制的設(shè)計(jì)._第2頁(yè)
步進(jìn)電機(jī)正反轉(zhuǎn)啟??刂频脑O(shè)計(jì)._第3頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、成績(jī)魚(yú)腹科扶學(xué)院電機(jī)控制課程設(shè)計(jì)報(bào)告書(shū)題目步進(jìn)電機(jī)正反轉(zhuǎn)啟??刂频脑O(shè)計(jì)院 部名 稱 機(jī)電工程學(xué)院專業(yè)電氣工程及其自動(dòng)化班級(jí)組長(zhǎng)姓名學(xué)號(hào)同組學(xué)生設(shè)計(jì)地點(diǎn)工科樓C設(shè)計(jì)學(xué)時(shí)1指導(dǎo)教師金陵科技學(xué)院教務(wù)處制目錄一、設(shè)計(jì)任務(wù)和要求二、設(shè)計(jì)思路2.1系統(tǒng)總框圖2.2設(shè)計(jì)原理03三、系統(tǒng)硬件設(shè)置3.1時(shí)鐘信號(hào)控制電路原理介紹033.1.1 芯片 89C51 介紹033.1.2 芯片管腳說(shuō)明033.1.3 時(shí)鐘信號(hào)控制電路 053.2系統(tǒng)復(fù)位電路原理介紹063.2.1 系統(tǒng)復(fù)位電路 063.3驅(qū)動(dòng)電路原理介紹063.3.1 步進(jìn)電機(jī)原理介紹063.3.2 驅(qū)動(dòng)電路083.4正反轉(zhuǎn)控制電路原理介紹08正反轉(zhuǎn)控制電

2、路08四、系統(tǒng)軟件設(shè)置4.1 主程序流程圖 094.2源程序09五、 調(diào)試過(guò)程與結(jié)果 18六、 總結(jié)與體會(huì)18七、參考資料19八、 附錄20 附錄一總電路圖一、設(shè)計(jì)任務(wù)和要求電機(jī)控制課程設(shè)計(jì)是考察學(xué)生利用所學(xué)過(guò)的電機(jī)控制專業(yè)知識(shí),進(jìn)行綜合的電機(jī)控制系統(tǒng)設(shè)計(jì)并最終完成實(shí)際系統(tǒng)連接, 能夠使學(xué)生對(duì)電氣與自動(dòng)化的專業(yè) 知識(shí)進(jìn)行綜合應(yīng)用,培養(yǎng)學(xué)生的創(chuàng)新能力和團(tuán)隊(duì)協(xié)作能力, 提高學(xué)生的動(dòng)手實(shí)踐 能力。最終形成一篇符合規(guī)范的設(shè)計(jì)說(shuō)明書(shū),并參加綜合實(shí)踐答辯,為后期的畢業(yè)設(shè)計(jì)做好準(zhǔn)備。本次設(shè)計(jì)考核的能力主要有:1)專業(yè)知識(shí)應(yīng)用能力,包括電路分析、電子技術(shù)、單片機(jī)、檢測(cè)技術(shù)、電 氣控制、電機(jī)與拖動(dòng)、微特電機(jī)及其

3、驅(qū)動(dòng)、計(jì)算機(jī)高級(jí)語(yǔ)言、計(jì)算機(jī)輔 助設(shè)計(jì)、計(jì)算機(jī)辦公軟件等課程,還包括本專業(yè)的拓展性課程如變頻器、 組態(tài)技術(shù)、現(xiàn)場(chǎng)總線技術(shù)、伺服電機(jī)等課程。2)項(xiàng)目設(shè)計(jì)與運(yùn)作能力,團(tuán)隊(duì)協(xié)作能力,技術(shù)文檔撰寫(xiě)能力,PPT匯報(bào)與口頭表達(dá)能力。3)電氣與自動(dòng)化系統(tǒng)的設(shè)計(jì)與實(shí)際應(yīng)用能力。要求完成的工作量包括:1)制作實(shí)際成品,并現(xiàn)場(chǎng)演示效果。2)學(xué)生結(jié)合課題進(jìn)行PPT演講與答辯。3)學(xué)生上交課題要求的各類(lèi)設(shè)計(jì)技術(shù)文檔。二、設(shè)計(jì)思路2.1設(shè)計(jì)總體方框圖圖2-1系統(tǒng)總體框圖2.2設(shè)計(jì)原理本系統(tǒng)主要是由電源(+5V)及時(shí)鐘信號(hào)模塊、復(fù)位模塊、電機(jī)驅(qū)動(dòng)模塊(ULN2003)鍵盤(pán)控制模塊、步進(jìn)電機(jī)等5個(gè)模塊組成。電源模塊的功能是

4、提供 直流5V電源給其余幾個(gè)模塊供電,時(shí)鐘信號(hào)模塊的功能是對(duì)單片機(jī)89C51提供工作周期,使89C51能夠正常工作,該電路也稱最小模式電路,對(duì)于沒(méi)有內(nèi)部晶振 的單片機(jī),接上電源和晶振就是該單片機(jī)的最小系統(tǒng)。89C51芯片內(nèi)部有一個(gè)反相放大器,用于構(gòu)成振蕩器,反相放大器的輸入端為XTAL1輸出端XTAL2兩端跨接石英晶體及兩個(gè)電容就可以構(gòu)成穩(wěn)定的自激振蕩器(這里電容取值在 30PF,石英晶體為12MH)復(fù)位電路的功能是使單片機(jī)初始化操作,只要給RESET 引腳(9腳)加上2個(gè)機(jī)器周期以上的高電平信號(hào),即可使單片機(jī)復(fù)位。復(fù)位電路 的功能是只對(duì)89C51單片機(jī)復(fù)位,除了進(jìn)入系統(tǒng)的正常初始化之外,當(dāng)程

5、序運(yùn)行 出錯(cuò)或是操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài)時(shí),為了擺脫死鎖狀態(tài),也需要按復(fù)位鍵重新復(fù)位。驅(qū)動(dòng)模塊的功能是通過(guò)驅(qū)動(dòng)器ULN2003A來(lái)驅(qū)動(dòng)步進(jìn)電機(jī),通過(guò)單片機(jī)的P1.0-P1.3輸出脈沖到ULN2003A勺1B-4B 口,經(jīng)信號(hào)放大后從1C-4C 口分別 輸出到電機(jī)的A、B C D相。鍵盤(pán)控制電路的功能是控制輸入部分設(shè)置了正/反轉(zhuǎn)控制、加減速和停止控制按鈕,內(nèi)部程序檢測(cè)P0.0的狀態(tài)來(lái)調(diào)用相應(yīng)的來(lái)調(diào)用相應(yīng)的啟動(dòng)和換向程序,實(shí)現(xiàn)步進(jìn)電機(jī)的正反轉(zhuǎn)控制。在該步進(jìn)電機(jī)的控制 器中,電機(jī)實(shí)現(xiàn)正反轉(zhuǎn)、加減速、停止控制。三、系統(tǒng)硬件設(shè)計(jì)3.1時(shí)鐘信號(hào)控制電路原理介紹3.1.1芯片89C51介紹DIPP1.D

6、匚丿.2,3.411- 1 _i p p p p.P1.5 cPl,6 cP1 7匚 RST c (RXD)P3.0 c (TXD)P3.I E CfNTO)P3.2 c (IM 1 )P3.S u (T0>P3.4 匚 (Tl c (WR)P3.6 c (rB)P3.7 cXTAL2 cXTAI 1 cGND u98765432109876543214 3 3 3 3 3 3 3 3 3 3 2 2 2 2 2 2 2 2 2=l PO.O(ADO) a POJtADl) n P1L2(AD2) =J P1I3(AD3) n PfL4(AEM) 口 PO.S(AD5) =P1).6(A

7、D6) n P0.7CAD7) 二 =/M.FyPROC 口 PSF.N=P2,7(a15) P2.6(A)4| => P2.5(A3)3 P2AA12) n P2.3(A11) P2.2S101 => P2.HA9)3 P2.O(A8)圖3-189C51芯片引腳圖芯片管腳說(shuō)明:1. VCC:供電電壓。2. GND接地。3. P0 口: P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O 口,每腳可吸收8TTL門(mén)電流。當(dāng)P1 口的 管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH 進(jìn)行校驗(yàn)

8、時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。4. P1 口: P1 口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O 口,P1 口緩沖器能接收輸出 4TTL門(mén)電流。P1 口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1 口被外部下 拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH®程和校驗(yàn)時(shí), P1 口作為第八位地址接收。5. P2 口: P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O 口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“ 1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。 并因此作為輸入時(shí),P2 口的管腳被外部拉低,將輸出電流。 這是由于內(nèi)部上拉的 緣故。P2口當(dāng)

9、用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“ 1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八 位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),P2 口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH 編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。6. P3 口: P3 口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O 口,可接收輸出4個(gè)TTL門(mén)電流。 當(dāng)P3口寫(xiě)入“ 1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于 外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口也可作為AT89C5的一些特殊功能口,如下表所示:P3.0 RXD (串行輸入口)P3.1 TX

10、D (串行輸出口)P3.2 /INT0 (外部中斷0)P3.3 /INT1 (外部中斷1)P3.4 T0 (記時(shí)器0外部輸入)P3.5 T1 (記時(shí)器1外部輸入)P3.6 /WR (外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7 /RD (外部數(shù)據(jù)存儲(chǔ)器讀選通)P3 口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。7. RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持 RS腳兩個(gè)機(jī)器周期的高電平時(shí)間。8. ALE/PR0G當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASHY程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖

11、。如想禁止ALE的輸出可在SFR8E地址上置0。此時(shí),ALE只有在執(zhí)行MOV,MOV指令是ALE 才起作用。9. /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī) 器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN言號(hào)將 不出現(xiàn)。10. /EA/VPP :當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器 (0000H-FFFFH, 不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET當(dāng)/EA 端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在 FLAS編程期間,此引腳也用于施加 12V編程電源(VPP。11. XTAL1 :反向振蕩放大器的

12、輸入及內(nèi)部時(shí)鐘工作電路的輸入。12. XTAL2:來(lái)自反向振蕩器的輸出。時(shí)鐘信號(hào)控制電路圖3-2時(shí)鐘信號(hào)控制電路該電路工作原理:該電路也稱最小模式電路,對(duì)于沒(méi)有內(nèi)部晶振的單片機(jī),接上電源和晶振就是該單片機(jī)的最小系統(tǒng)。89C51芯片內(nèi)部有一個(gè)高增益反相放 大器,用于構(gòu)成振蕩器,反相放大器的輸入端為XTAL1,輸出端XTAL2,兩端 跨接石英晶體及兩個(gè)電容就可以構(gòu)成穩(wěn)定的自激振蕩器。 電容C1、C2通常取30pf 左右,可以穩(wěn)定頻率并對(duì)振蕩頻率有微調(diào)作用。振蕩信號(hào)從 XTAL2端輸入到片 內(nèi)的時(shí)鐘發(fā)生器上。3.2系統(tǒng)復(fù)位電路原理介紹系統(tǒng)復(fù)位電路圖3-3系統(tǒng)復(fù)位電路該電路工作原理:復(fù)位電路是單片機(jī)的

13、初始化操作,只要給RESET引腳(9腳)加上2個(gè)機(jī)器周期以上的高電平信號(hào),即可使單片機(jī)復(fù)位。除了進(jìn)入系統(tǒng)的 正常初始化之外,當(dāng)程序運(yùn)行出錯(cuò)或是操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài)時(shí),為了擺脫死鎖狀態(tài),也需要按復(fù)位鍵重新復(fù)位。3.3驅(qū)動(dòng)電路原理介紹步進(jìn)電機(jī)原理介紹該步進(jìn)電機(jī)為四相步進(jìn)電機(jī),采用單極性直流電源供電。只要對(duì)步進(jìn)電機(jī)的 各相繞組按合適的時(shí)序通電,就能使步進(jìn)電機(jī)步進(jìn)轉(zhuǎn)動(dòng)。下圖是該四相反應(yīng)式步 進(jìn)電機(jī)工作原理示意圖:圖3-3四相步進(jìn)電機(jī)步進(jìn)示意圖開(kāi)始時(shí),開(kāi)關(guān)SB接通電源,SA SC SD斷開(kāi),B相磁極和轉(zhuǎn)子0、3號(hào)齒對(duì) 齊,同時(shí),轉(zhuǎn)子的1、4號(hào)齒就和C D相繞組磁極產(chǎn)生錯(cuò)齒,2、5號(hào)齒就和D A相繞

14、組磁極產(chǎn)生錯(cuò)齒。當(dāng)開(kāi)關(guān)SC接通電源,SB SA SD斷開(kāi)時(shí),由于C相繞組的磁力線和1、4 號(hào)齒之間磁力線的作用,使轉(zhuǎn)子轉(zhuǎn)動(dòng),1、4號(hào)齒和C相繞組的磁極對(duì)齊。而0、 3號(hào)齒和A、B相繞組產(chǎn)生錯(cuò)齒,2、5號(hào)齒就和A、D相繞組磁極產(chǎn)生錯(cuò)齒。依次 類(lèi)推,A、B、C、D四相繞組輪流供電,則轉(zhuǎn)子會(huì)沿著 A、B、C、D方向轉(zhuǎn)動(dòng)。四相步進(jìn)電機(jī)按照通電順序的不同, 可分為單四拍、雙四拍、八拍三種工作 方式。單四拍與雙四拍的步距角相等, 但單四拍的轉(zhuǎn)動(dòng)力矩小。八拍工作方式的 步距角是單四拍與雙四拍的一半,因此,八拍工作方式既可以保持較高的轉(zhuǎn)動(dòng)力 矩又可以提高控制精度。眛沖 jinmuuinjwmR 嗣門(mén)一n_TL

15、_Bfg JUJL-TL cffl _J1_TL_TL D»L_TL_TL_njuumrvuuumrurTTTT rLL_TTTTTn"L-TUTL-Tjumnnnnnruuuuuuuic.八拍a.單四拍b. 雙四拍驅(qū)動(dòng)電路圖3-4驅(qū)動(dòng)電路該電路工作原理:通過(guò)驅(qū)動(dòng)器 ULN2003A來(lái)驅(qū)動(dòng)步進(jìn)電機(jī),如圖3.3所示, 通過(guò)單片機(jī)的P1.0-P1.3輸出脈沖到ULN2003A的1B-4B 口,經(jīng)信號(hào)放大后從 1C-4C 口分別輸出到電機(jī)的 A、B、C、D相。3.4正反轉(zhuǎn)控制電路原理介紹正反轉(zhuǎn)控制電路圖3-5正反轉(zhuǎn)控制電路該電路工作原理:根據(jù)系統(tǒng)的控制要求,控制輸入部分設(shè)置了正/

16、反轉(zhuǎn)控制、加速控制、減速控制和停止控制按鈕,通過(guò)按鍵狀態(tài)變化來(lái)實(shí)現(xiàn)電機(jī)的啟動(dòng)、力卩 減速和換向功能。當(dāng)按鍵的狀態(tài)變化時(shí),內(nèi)部程序檢測(cè)P0.0的狀態(tài)來(lái)調(diào)用相應(yīng)的來(lái)調(diào)用相應(yīng)的啟動(dòng)和換向程序,實(shí)現(xiàn)步進(jìn)電機(jī)的正反轉(zhuǎn)控制。四、系統(tǒng)軟件設(shè)計(jì)4.1主程序流程圖4.2源程序#in elude vreg52.h>#in elude vintrin s.h>#inelude "key.h"#defi ne uehar un sig ned char#defi ne uint un sig ned int/Motorsbit A = P1A0;/ 定義管腳sbit b = P1A1;

17、sbit C = P1A2;sbit D = PM3;/ /步進(jìn)電機(jī)驅(qū)動(dòng)uchar velocity=30;un sig ned char MotorStep=0;un sig ned int MotorTimer = 0;un sig ned int MotorDelay,Speed=1,TIM,CT;bit flag=0;低了會(huì)引起震動(dòng)。/#define speed 8/調(diào)整速度數(shù)值不要設(shè)的太低/*初始化馬達(dá)*void Ini tMotor()A = 1;b = 1;C = 1;D = 1;void SetMotor1()/if(Speed = 0) retur n;/MotorDelay

18、=Speed; / 調(diào)整速度 switch(MotorStep)case 0:if(TIM) / AA = 0;0xf1b = 1;C = 1;D = 1;MotorStep = 1;case 1:/ ABif(TIM)A = 0;b = 0;C = 1;D = 1;MotorStep = 2;TIM=0;break;case 2:/Bif(TIM)A = 1;b = 0;C = 1;D = 1;0xf30xf2MotorStep = 3;TIM=0;break;case 3:/BCif(TIM)A = 1;b = 0;C = 0;D = 1;MotorStep = 4;/0xf6case 4

19、:Cif(TIM)A = 1;b = 1;C = 0;D = 1;MotorStep = 5;TIM=0;break;case 5:/CDif(TIM)A = 1;b = 1;C = 0;D = 0;MotorStep = 6;TIM=0;break;case 6:/Dif(TIM)A = 1;b = 1;C = 1;D = 0;MotorStep = 7;TIM=0;0xf40xfc/0xf80xf90xf10xf3case 7:DAif(TIM)A = 0;b = 1;C = 1;D = 0;MotorStep = 0;TIM=0;break; void SetMotor2()switch

20、(MotorStep) case 0:if(TIM) / AA = 0;b = 1;C = 1;D = 0;MotorStep = 1;TIM=0;break;case 1:/ ABif(TIM)A = 1;b = 1;C = 1;D = 0;MotorStep = 2;TIM=0;break;case 2:Bif(TIM)A = 1;b = 1;0xf2C = 0;D = 0;MotorStep = 3;TIM=0;break;case 3:BCif(TIM)A = 1;b = 1;0xf6C = 0;D = 1;MotorStep = 4;TIM=0;break;case 4:/Cif(T

21、IM)A = 1;b = 0;0xf4C = 0;D = 1;MotorStep = 5;TIM=0;break;case 5:/CDif(TIM)A = 1;b = 0;C = 1;D = 1;MotorStep = 6;TIM=0;break;case 6:/Dif(TIM)A = 0;b = 0;C = 1;D = 1;MotorStep = 7;TIM=0;break;case 7:/DAif(TIM)A = 0;b = 1;C = 1;0xfc0xf8/0xf9D = 1;MotorStep = 0;TIM=0;break;void systemni()TMOD|= 0x11;TH0

22、=0xDC; /11.0592MTL0=0x00;IE = 0x8A;TR0 = 1;mai n()uchar num;systemni ();Ini tMotor();while(1)if(velocity!= 0)if(flag=0)SetMotor1();elseSetMotor2();elseIni tMotor();num=KeyScan();/循環(huán)調(diào)用按鍵掃描switch( num)CT=O;flag=flag;SetMotor();break;case 1:CT=0;velocity=10;SetMotor();break;case 2:CT=0;velocity=20;SetM

23、otor();break;case 3:CT=0;velocity=0;/Ini tMotor();break;default:break;*定時(shí)中斷延時(shí)void Tzd(void) in terrupt 1TH0 = 0xfe; /11.0592TL0 = 0x33;if( CT+=velocity)TIM=1;CT=O;五、調(diào)試過(guò)程與結(jié)果本次調(diào)試過(guò)程及注意事項(xiàng)可分為三個(gè)步驟:1. 檢查所有元件已被連接,電源線和接地線是否都連接完成,否則電路不能正常 工作。2. 再將程序錄入到單片機(jī)89C51中,并且保證5V電源提供給所有元件,使各模 塊可以正常工作;在調(diào)試過(guò)程中必須保證單片機(jī)可以正常工作,

24、一旦不能正常工 作,電路就不能運(yùn)行;3. 將步進(jìn)電機(jī)與電路連接時(shí),保證四相線連接時(shí)正確的,確保步進(jìn)電機(jī)正常運(yùn)轉(zhuǎn);六、總結(jié)與體會(huì)在做本次課程設(shè)計(jì)的過(guò)程中,感觸最深的當(dāng)屬查閱大量的設(shè)計(jì)資料了。 為了 讓自己的設(shè)計(jì)更加完善,查閱這方面的設(shè)計(jì)資料是十分必要的, 同時(shí)也是必不可 少的。我們是在做微特電機(jī)課程設(shè)計(jì),但我們不是藝術(shù)家, 他們可以拋開(kāi)實(shí)際盡 情在幻想的世界里翱翔,而我們一切都要有據(jù)可依,有理可尋, 不切實(shí)際的構(gòu)想 永遠(yuǎn)只能是構(gòu)想,永遠(yuǎn)無(wú)法升級(jí)為設(shè)計(jì)。其次,在這次課程設(shè)計(jì)中,我們運(yùn)用到了以前所學(xué)的專業(yè)課知識(shí),如:匯編 語(yǔ)言、模擬和數(shù)字電路知識(shí)等。雖然過(guò)去從未獨(dú)立應(yīng)用過(guò)它們,但在學(xué)習(xí)的過(guò)程中帶著問(wèn)題去學(xué)我發(fā)現(xiàn)效率很高,這是我做這次課程設(shè)計(jì)的又一收獲。后,要做好一個(gè)課程設(shè)計(jì),就必須做到:在設(shè)計(jì)程序之前,對(duì)所用單片機(jī)的內(nèi)部結(jié)構(gòu)有一 個(gè)系統(tǒng)的了解,知道該單片機(jī)內(nèi)有哪些資源;要有一個(gè)清晰的思路和一個(gè)完整的 的軟件流程圖;在設(shè)計(jì)程序時(shí),不能妄想一次就將整個(gè)程序設(shè)計(jì)好,反復(fù)修改、不斷改進(jìn)是程序設(shè)計(jì)的必經(jīng)之路; 要養(yǎng)成注釋程序的好習(xí)慣,一個(gè)程序的完美與 否不僅僅是實(shí)現(xiàn)功能,而應(yīng)該讓人一看就能明白你的思路, 這樣也為資料的保

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論