eda 電子設(shè)計自動化_第1頁
eda 電子設(shè)計自動化_第2頁
eda 電子設(shè)計自動化_第3頁
eda 電子設(shè)計自動化_第4頁
eda 電子設(shè)計自動化_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、一、如圖1所示,電路為二級電壓串聯(lián)負反饋的放大電路,其中Vs為VAC/SOURCE,其屬性設(shè)置為默認值。三極管Q2N3904的模型參數(shù)為默認值。試用EWB軟件作如下的分析:(1)求直流工作點;(2)求無負反饋(即無電阻Rf)時的輸入電阻、輸出電阻、電壓增益和上限截止頻率;(3)當電阻Rf分別為6.2k、15k和30k時的反饋深度,并總結(jié)反饋深度對放大電路性能的影響。(25分)0Re1300Rb420kRs200Rf6.2kVcc12VdcCe210uCe110uR55kQ2Q2N3904Cb22.2uRb3300kVoutQ1Q2N39040Vs1Vac0VdcCb12.2uVinRe2680

2、Rb1300kCb32.2uRe3820Rc15.1kR2b20kRc25.1k解:(1)直流工作點由圖知:ICQ1CQ1= =124.345uA ICQ2CQ2=140.332uA計算知:UCEQ1CEQ1= =VCCCC-ICQ1CQ1(RC1C1+RE1E1+RE2E2)=11.244V UCEQ2CEQ2= =VCCCC-ICQ2CQ2(RC2C2+RE3E3)=11.189V(2)無負反饋時的輸入電阻、輸出電阻、電壓增益和上限截止頻率 a、輸入電阻由圖知:Ui=9.865mA Ii=673.469nA計算得:Ri=Ui/Ii=14.6k b、輸出電阻由下頁圖知:Uo=11.665pV

3、 Io=2.334fA計算得:Ro=Uo/Io=5k C、電壓增益 d、上限截止頻率 查書得:f=1/(23.14RsC)=3.6MhzMhzRs=200 C=2.2uF(3)當電阻Rf分別為6.2k、15k和30k時的反饋深度Af=2.462V/987.371mV=2.5Af=2.803V/987.371mV=2.8Af=2.947V/986.945mV=3反饋深度1+AF=A/Af;由上可得:Af減小 二級電壓串聯(lián)負反饋:Ri=Ri/(1+Af);Ro=Ro*(1+Af);使的Ri減小 Ro增大;二、設(shè)計題。設(shè)計一個水溫控制系統(tǒng)。(40分)基本要求:一升水由1kW的電爐加熱,要求水溫可以在

4、一定范圍內(nèi)由人工設(shè)定,并能在環(huán)境溫度降低時實現(xiàn)自動調(diào)整,以保持設(shè)定的溫度基本不變。 達到的性能指標: 溫度設(shè)定范圍:4090,最小區(qū)分度為1; 控制精度:溫度控制的靜態(tài)誤差1; 用十進制數(shù)碼顯示實際水溫; 能打印實測水溫值; 具有通信能力,可接收其他數(shù)據(jù)設(shè)備發(fā)來的命令,或?qū)⒔Y(jié)果傳送到其他數(shù)據(jù)設(shè)備。完成作業(yè)的要求:(1) 根據(jù)課堂講授的設(shè)計方法完成本題;給出設(shè)計步驟和說明(2) 查閱相關(guān)資料,畫出原理框圖(3) 按照題目的功能要求,設(shè)計并畫出完整的原理圖(利用畫圖軟件),并給出元器件選用說明。(4) 完成PCB圖的設(shè)計。 設(shè)計:設(shè)計: 1 總體方案論證(1)、方案一:此方案是采用傳統(tǒng)的模擬控制方

5、法(方案框圖如圖2-1-1),選用模擬電路,用電位器設(shè)定給定值,反饋的溫度值與給定的溫度值比較后,決定加熱或者不加熱。器特點是電路簡單,易于實現(xiàn),但是系統(tǒng)所得結(jié)果的精度不高并且調(diào)節(jié)動作頻繁,系統(tǒng)靜差大,不穩(wěn)定。系統(tǒng)受環(huán)境的影響大,不能實現(xiàn)復(fù)雜的控制算法,而且不易實現(xiàn)對系統(tǒng)的控制及對溫度的顯示,人機交換性能差。(2)、方案二:采用單片機89c52為核心。采用了溫度傳感器AD590采集溫度變化信號,A/D采樣芯片ADC0804將其轉(zhuǎn)換成數(shù)字信號并通過單片機處理后去控制溫度,使其達到穩(wěn)定。使用單片機具有編程靈活,控制簡單的優(yōu)點,使系統(tǒng)能簡單的實現(xiàn)溫度的控制及顯示,并且通過軟件編程能實現(xiàn)各種控制算法使

6、系統(tǒng)還具有控制精度高的特點。該水溫控制系統(tǒng)是一個典型的檢測、控制型應(yīng)用系統(tǒng),它要求系統(tǒng)完成從水溫檢測、信號處理、輸入、運算到輸出控制電爐加熱功率以實現(xiàn)水溫控制的全過程。以單片微型計算機為核心組成一個專用計算機應(yīng)用系統(tǒng),以滿足檢測、控制應(yīng)用類型的功能要求。另外,單片機的使用也為實現(xiàn)水溫的智能化控制以及提供完善的人機交互界面及多機通訊接口提供了可能,而這些功能在常規(guī)數(shù)字邏輯道路中往往是難以實現(xiàn)或無法實現(xiàn)的。所以,本例采用以單片機為核心的直接數(shù)字控制系統(tǒng)。 比較兩種方案,方案二明顯的改善了方案一的不足及缺點,并具有控制簡單、控制溫度精度高的特點。因此本設(shè)計電路采用方案二。2.總體設(shè)計本設(shè)計以89c5

7、2單片機為核心,采用了溫度傳感器AD590,A/D采樣芯片ADC0804,可控硅MOC3041及PID算法對溫度進行控制。該水溫控制系統(tǒng)是一個典型的檢測、控制型應(yīng)用系統(tǒng),它要求系統(tǒng)完成從水溫檢測、信號處理、輸入、運算到輸出控制電爐加熱功率以實現(xiàn)水溫控制的全過程。本設(shè)計實現(xiàn)了水溫的智能化控制以及提供完善的人機交互界面及多機通訊接口,系統(tǒng)由前向通道模塊(即溫度采樣模塊)、后向控制模塊、系統(tǒng)主模塊及鍵盤顯示摸塊等四大模塊組成。本系統(tǒng)的特點在于采用PC機及普通鍵盤實現(xiàn)了多機通信。系統(tǒng)框圖如圖所示。3.硬件電路設(shè)計與計算本電路總體設(shè)計包括五部分:主機控制部分(89C52)、前向通道(溫度采樣電路)、后向

8、通道(溫度控制電路)、鍵盤和數(shù)字顯示部分、微機控制及圖形顯示。 主機控制部分 此部分是電路的核心部分,系統(tǒng)的控制采用了單片機89C52。單片機89C52內(nèi)部有8KB單元的程序存儲器及256字節(jié)的數(shù)據(jù)存儲器。因此系統(tǒng)不必擴展外部程序存儲器和數(shù)據(jù)存儲器這樣大大的減少了系統(tǒng)硬件部分。 溫度采樣電路系統(tǒng)的信號采集電路主要由溫度傳感器(AD590)、基準電壓(7812)及A/D轉(zhuǎn)換電路(ADC0804)三部分組成。電路圖如圖所示R25KDB018DB117DB216DB315DB414DB513DB612DB711/CS1/RD2/WR3/INT R5V+20CLK R19CLK IN4VIN+6VIN

9、-7AGND8Vref/29DGND10J6ADC0804-2+3674185J3OP0712J4414812J54148R810kC2150pVCCR720k+15-15R430kR381k213VinGNDOUTJ17812+1512J2AD590-15AGNDR130KR65kR55k89C52VCC+c110uAD590性能描述 測量范圍在-50-+150,滿刻度范圍誤差為0.3,當電源電壓在510V之間,穩(wěn)定度為1時,誤差只有0.01 。AD590為電流型傳感器溫度每變化1其電流變化1uA在35和95時輸出電流分別為308.2uA 和368.2uA 。ADC0804性能描述 ADC0

10、804為8bit的一路A/D轉(zhuǎn)換器,其輸入電壓范圍在05v,轉(zhuǎn)換速度小于100us,轉(zhuǎn)換精度0.39。滿足系統(tǒng)的要求。 電路原理及參數(shù)計算 溫度采樣電路的基本原理是采用電流型溫度傳感器AD590將溫度的變化量轉(zhuǎn)換成電流量,再將電流量轉(zhuǎn)換成電壓量通過A/D轉(zhuǎn)換器ADC0804將其轉(zhuǎn)換成數(shù)值量交由單片機處理。如上圖中三端穩(wěn)壓7812作為基準電壓,由運放虛短虛斷可知運放的反向輸入端ui的電壓為零伏,當輸出電壓為零伏時,列出A點的節(jié)點方程如下: .(1)( 12)UbRRIc 由于系統(tǒng)控制的水溫范圍為35-95,所以當輸出電壓為零伏時AD590的輸出電流為308.2uA,因此為了使Ui的電位為零就必須

11、使電流等于電流等于308.2uA, IbIc三端穩(wěn)壓7812的輸出電壓為12v所以由方程(1)得 (2) 121238.94308.2UbvRRkIcuA由方程(2)的取電阻R2=30k , R1=10k的電位器。又由于ADC0804的輸入電壓范圍為05v ,為了提高精度所以令水溫為95時ADC0804的輸入電壓為5v(即Uo=5v)。此時列出A點的結(jié)點方程如下: (3)( 54)( 12)UoRRUbRRIc5( 54)308.2368.2vRRuAuA5483.33RRk當水溫為95時AD590的輸出電流為368.2uA。由方程式(3)得R4+R5=83.33k因此取R5=81k , R5

12、=5k的電位器。溫度控制電路此部分電路主要由光電耦合器MOC3041和雙向可控硅BTA12組成。MOC3041光電耦合器的耐壓值為400v,它的輸出級由過零觸發(fā)的雙向可控硅構(gòu)成,它控制著主電路雙向可控硅的導(dǎo)通和關(guān)閉。100電阻與0.01uF電容組成雙向可控硅保護電路220v1000.01uFBTA12MOC304174LS07250爐爐vccin 27鍵盤與數(shù)字顯示部分在設(shè)計鍵盤/顯示電路時,我們使用單片機2051做為電路控制的核心,單片機2051具有一個全雙工的串行口采用串口,利用此串行口能夠方便的實現(xiàn)系統(tǒng)的控制和顯示功能。圖中單片機2051的P1口接數(shù)碼管的8只引腳,這樣易于對數(shù)碼管的譯碼

13、,使數(shù)碼管能顯示設(shè)計者所需的各數(shù)值、小數(shù)點、符號等等。 單片機2051的P3.3、P3.4、P3.5接3-8譯碼器74L138,譯碼器的輸出端直接接八個數(shù)碼管的控制端和鍵盤,鍵盤掃描和顯示器掃描同用端口這樣能大大的減少單片機的I/O,減少硬件的花費。鍵盤的接法的差別直接影響到硬件和軟件的設(shè)計,考慮到單片機2051的端口資源有限,所以我們在設(shè)計中將傳統(tǒng)的4*4的鍵盤接成8*2的形式(如圖4-4-2),鍵盤的掃描除了和顯示共用的8個端外,另外的兩個端直接和2051的P3.2和P3.7相連。微機控制及圖形顯示部分 為了使系統(tǒng)具有更好的人機交換界面,在系統(tǒng)設(shè)計中我們通過Visual Basic 語言設(shè)

14、計了微機控制界面。通過系統(tǒng)與微機的通信大大的提高了系統(tǒng)的各方面性能。由于單片機89C52串行口為TTL電平,而PC機為RS232電平,因此系統(tǒng)采用了MAX232電平轉(zhuǎn)換芯片。由于系統(tǒng)設(shè)計了多機通信的功能,即主系統(tǒng)(89C52)和鍵盤及數(shù)字顯示部分的通信、主系統(tǒng)(89C52)和PC機的通信,所以在設(shè)計電路時要特別注意多機通信的時序及競爭問題,針對此類問題在設(shè)計中我們特地的在兩根串行通信線上增加了如圖4-5-2的電路:如圖4-5-2由于主機部分發(fā)送兩個從機都可以接受,因此主機的發(fā)送部分(及主機 TXD)不存在競爭問題。而兩個從機可能同時向主機發(fā)送各類控制信息,因此會存在競爭問題。其實圖4-5-2為

15、一個與門電路,圖中R1為提升電阻,D1、D2為開關(guān)二極管,當pc TXD(或2051 TXD)中有一個為低電平時主機RXD為低電平,同時另一個分機無效,當pc TXD(或2051 TXD)中有一個為高電平時主機RXD為高低電平。4.系統(tǒng)原理圖5.PCB圖3 3、利用利用VHDLVHDL原理設(shè)計原理設(shè)計1313進制和進制和2424進制計數(shù)器,并在開發(fā)系統(tǒng)軟件進行仿真,給進制計數(shù)器,并在開發(fā)系統(tǒng)軟件進行仿真,給出仿真結(jié)果。(出仿真結(jié)果。(2020分)分)(a)十三進制的計數(shù)器十三進制的計數(shù)器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_

16、LOGIC_UNSIGNED.ALL;ENTITY THIRETEENCOUT ISPORT(CLK,RESET,ENABLE : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END THIRETEENCOUT;ARCHITECTURE COUNTER OF THIRETEENCOUT ISSIGNAL COUNT_INT:STD_LOGIC_VECTOR(0 TO 3);BEGINPROCESS(CLK,RESET)BEGINWAIT UNTIL RISING_EDGE(CLK);IF RESET = 1 THENCOUNT_

17、INT 0); ELSIF ENABLE = 1 THEN IF(COUNT_INT=1100) THEN COUNT_INT=0000; ELSE COUNT_INT = COUNT_INT 1; ELSE NULL ; IF (COUNT_INT=1001) THEN COUNT_INT=0000; END IF;END IF;END PROCESS;COUNT = COUNT_INT;IF (RESET=0) THENQ=0000; ELSIF(CLKEVENT AND CLK=1) THEN Q=Q 1; IF (Q=1001) THEN Q=0000; END IF; IF (RES

18、ET=1)THEN Q=00; ELSIFWAIT UNTIL (CLKEVENT AND CLK=1);WAIT UNTIL (CLKEVENT AND CLK = 1);WAIT UNTIL (CLOCKEVENT AND CLOCK = 1); Q=Q 1;END IF;COUNT=Q; WAIT UNTIL CLOCK = 1;IF (CLOCKEVENT AND CLOCK=1)THENWAIT UNTIL RISING_EDGE(CLOCK);CLOCKEVENT AND CLOCK=1;COUNT = 0;WAIT UNTIL (CLOCKEVENT AND CLOCK = 1)

19、;WAIT RISEEDGE CLOCK = 1; IF (CLOCKEVENT AND CLOCK=1) THEN WAIT UNTIL RISING_EDGE(CLOCK); COUNT = 1; WAIT UNTIL (CLOCKEVENT AND CLOCK = 1); WAIT UNTIL CLOCK = 1; IF (CLOCKEVENT AND CLOCK=1)THEN WAIT UNTIL RISING_EDGE(CLOCK); COUNT = 2; END IF; END IF;END IF;END PROCESS;END COUNTER;24進制計數(shù)器 思路:基本與13進制

20、一致,只是24進制多了一片74LS161,也就是兩片來完成。 二十四進制計數(shù)器邏輯計算并物理顯示出時鐘個數(shù),當計數(shù)超過23時自動清零,循環(huán)計數(shù),并顯示出進位輸出。具體流程如下: 1. 定義相關(guān)的端口2. (1).首先判斷清零信號是否有效。若為1清零,否則進入下一步。 (2).當脈沖上升沿到來時,預(yù)置初始值,然后在下一個上升沿來臨時開始計數(shù),當計到23時,清零信號有效,開始重新計數(shù)。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THIRETEENCOUT ISPORT(CLK,RES

21、ET,ENABLE : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END THIRETEENCOUT;ARCHITECTURE COUNTER OF THIRETEENCOUT ISSIGNAL COUNT_INT:STD_LOGIC_VECTOR(0 TO 7);BEGINPROCESS(CLK,RESET)BEGINWAIT UNTIL RISING_EDGE(CLK);IF RESET = 1 THENCOUNT_INT 0);ELSIF ENABLE = 1 THEN IF(COUNT_INT=00010111) TH

22、EN COUNT_INT=00000000; ELSE COUNT_INT = COUNT_INT+ 1;ELSE-NULL ; IF (COUNT_INT=00010111) THEN COUNT_INT=00000000; END IF;END IF;END PROCESS;COUNT = COUNT_INT; IF (RESET=0) THEN Q=00000000; ELSIF(CLKEVENT AND CLK=1) THEN Q=Q 1; IF (Q=00010111) THEN Q=00000000; END IF; IF (RESET=1)THEN Q=00; ELSIFWAIT

23、 UNTIL (CLKEVENT AND CLK=1);WAIT UNTIL (CLKEVENT AND CLK = 1);WAIT UNTIL (CLOCKEVENT AND CLOCK = 1);Q=Q 1;END IF;COUNT=Q; WAIT UNTIL CLOCK = 1;IF (CLOCKEVENT AND CLOCK=1)THENWAIT UNTIL RISING_EDGE(CLOCK);CLOCKEVENT AND CLOCK=1;COUNT = 0;WAIT UNTIL (CLOCKEVENT AND CLOCK = 1);WAIT RISEEDGE CLOCK = 1; IF (CLOCKEVENT AND CLOCK=1) THEN WAIT UNTIL RISING_EDGE(CLOCK); COUNT = 1; WAIT UNTIL (CLOCKEVENT AND CLOCK = 1); WAIT UNTIL CLOCK = 1; IF (CLOCKEVENT AND CLOCK=1)THEN WAIT UNTIL RISING_EDGE(CLOCK); COUNT = 2; END IF; END IF;END IF;END PROCESS;END COUNTER;4 4、談?wù)勀銓φ務(wù)勀銓﹄娮釉O(shè)計自動化電子設(shè)計自動化的理解(不少于的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論