3.VHDL語言基礎(chǔ)(一)._第1頁
3.VHDL語言基礎(chǔ)(一)._第2頁
已閱讀5頁,還剩4頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、3. VHDL語言基礎(chǔ)(一)IEEE STD 1076_1987VHDU87IEEE STD 1076_1993VHDU93 IEEE 業(yè)界標(biāo)準(zhǔn)硬件描述語言用于仿貢和綜合的高級扌苗述語言VH器件的外部情況、端口性質(zhì)ENTITYmux21aIS實(shí)體PORT(a, b :INBIT;s : INBIT;y : OUT BIT);END ENTITY mux21a;ARCH ITECTURE one OF mux21a IS結(jié)構(gòu)體BEGINy = a WHEN s = (T ELSE b ;END ARCHITECTURE one ;器件的內(nèi)部邏輯功能、電路結(jié)構(gòu)mux21aa圖3-1 mux21a實(shí)

2、休VHDL設(shè)計(jì)實(shí)體ARCHITECTURE oneBEGINy = a WHENEND ARCHITECTUREs =f0rELSE b ; one ;ENTITYmux21a IS實(shí)體PORT(a, b :INBIT;S : INBIT;y : OUT BIT);END ENTITY mux21a;端口模式 體名: 、中文X咆創(chuàng)圧具庫中己有元件色XFORT| (Qp_nam :描述實(shí)體的關(guān)鍵詞e namel ISENTITY數(shù)據(jù)類型:BITBOOLEAN- ttta_typGER描述結(jié)構(gòu)體的關(guān)鍵詞OFmux21aARCHTTECTURE(ch_nmi)C)F | e_name IS說明語句誦

3、競文藪咸云 矗類型、 元件調(diào)用瞬瞰名是非必須的(功能描述語句)描述電區(qū)BEGINEND ARCHITECTURE已是必須的rch name;ENTITY mux21a ISPORT(a, b :INBIT;ARCHITECTSIGNALBEGIN布爾方程形式的并行語句d = a AND (NOT S); e =b AND s ;END ARCHITECTURE one ;AND(與)OR(或)NOT(取反)NAND (與非)NOR(或非)XOR(異或)XNOR (同或)y = a WHEN s=f0rELSEb ; END ARCHITECTURE one ;例:z = a WHEN11ELS

4、Eb WHEN p2/ 11ELSEARCHITECTURE one OF mux21aIS結(jié)構(gòu)體BEGIN條件賦值語句賦值li標(biāo)三達(dá)式賦值符號表達(dá)式表達(dá)WHEN賦值條件ELSEWHEN賦值條件ELSEENTITY mux21a ISPORT ( a, b, S:TN BIT;y : OUT BIT );END ENTITY mux2ia;ARCHITECTURE one OF mux21a ISBEGIN進(jìn)程語句IF s =10fTHEN y = a ; ELSE y = b ;END IF;END PROCESS;END ARCHITECTURE one ;練習(xí)一:使用WHEN_ELSE并

5、行語句實(shí)現(xiàn)4選1選擇器賦值冃標(biāo) =表達(dá)式WHEN賦值條件ELSE表達(dá)式WHEN賦值條件ELSE 表達(dá)式:PROCESS (a,bzs)BEGIN進(jìn)程的敏感信號表順序練習(xí)一:使用WHEN_ELSE并行語句實(shí)現(xiàn)4選1選擇器ENTITYmux41a ISPORT(arc,d:IN BIT;e,f:IN BIT; y:OUT BIT);END ENTITY mux41a;ARCHITECTURE one OF mux41a IS BEGINy=a WHEN (e=T0f)AND(f=TOT) ELSE b WHEN(e=,0t)AND(f=r1T) ELSE c WHEN(e=T11)AND(f=rOT) ELSE d;END ARCHITECTURE one;練習(xí)二:使用IF多選擇順序語句實(shí)現(xiàn)4選1選擇器IF條件THEN順序處理語句;ELS1F條件THEN順序處理語句;ELSIF條件THEN順序處理語句;ELSE順序處理語句;END IF?練習(xí)二:使用IF多選擇順序語句實(shí)現(xiàn)4選1選擇器END ENTITY mux41a;ARCHITECTURE one OF rnux41a ISBEGINPROCESSBEG INIF (e=,0,)AND(f=r0r) THEN y=a;ELSIF (e=,0,)AND(f=,l,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論