南昌大學(xué)eda實(shí)驗(yàn)報(bào)告_第1頁(yè)
南昌大學(xué)eda實(shí)驗(yàn)報(bào)告_第2頁(yè)
南昌大學(xué)eda實(shí)驗(yàn)報(bào)告_第3頁(yè)
南昌大學(xué)eda實(shí)驗(yàn)報(bào)告_第4頁(yè)
南昌大學(xué)eda實(shí)驗(yàn)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩35頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、實(shí)驗(yàn)報(bào)告課程名稱:指導(dǎo)老師:學(xué)生姓名:學(xué) 號(hào):專業(yè)班級(jí):EDA技術(shù)實(shí)用教程通信工程134班2015年12月7日實(shí)驗(yàn)一、全加器設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)二、??勺冇?jì)數(shù)器設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)三、序列信號(hào)發(fā)生和檢測(cè)器設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)四、交通燈控制器設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)五、多功能數(shù)字鐘設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)六、出租車計(jì)費(fèi)器設(shè)計(jì)實(shí)驗(yàn) 本課程總結(jié)亠、全加器設(shè)計(jì)實(shí)驗(yàn)(一) 實(shí)驗(yàn)?zāi)康?、 熟悉建立文件夾和建立工程的整個(gè)過(guò)程。2、 學(xué)會(huì)建立波形文件。3、 學(xué)會(huì)將文件下載到板子上。(二) 設(shè)計(jì)要求完成設(shè)計(jì)、仿真、調(diào)試、下載、硬件測(cè)試等環(huán)節(jié),在EDA實(shí)驗(yàn)裝置上實(shí)現(xiàn)??勺?計(jì)數(shù)器功能,具體要求如下:1、利用書上的程序,完成實(shí)驗(yàn)?zāi)康?,?shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)的相加,

2、并將進(jìn)位 輸出。(三) 主要儀器設(shè)備1、 微機(jī)1臺(tái)2、Quartusll集成開發(fā)軟件1套3、EDA實(shí)驗(yàn)裝置1套(四) 實(shí)驗(yàn)思路由于要用到例化語(yǔ)句,所以需要將文件都放在一個(gè)文件夾里然后創(chuàng)建工程。選擇文件夾和名稱。添加文件Add Files |ge 2 of 5尋疋t the一 二弓p問口 齊酥!懷 計(jì)幽i曲in thr pi-aMT-,口i衣4del Jhjl sad III*壬彳嚴(yán)fih* n (hrRT創(chuàng)嚴(yán)葉日f(shuō) erary汨 需*pejrtNek:wu an*碎*dd尢目那 宙盂F 穴m“尊Fjc rwit;懇中京Typt Ubw 號(hào)加知門引和拆 nlhe 陽(yáng) Tgl 晦 n-banj .

3、pecrH ihe palii nances講any nDri-deBflull FbrwiesLM-Lt-HII.CCT 伽忡 _.甲 ub: de-ICE SCIECIHCb / dieFter二 s&eJflc二己心itteced 汩九 aiabfc dioe Itt fly a toe謝佶;New Project Wizardvtert_.HDLWQOePultPeitih:選擇實(shí)驗(yàn)室用的芯片New Project WizardFamily & Device Settings paqc 3 of 5detect Si? E 丁 and ckvlce yiu 風(fēng) am m

4、 它 rgetWimptatjcr .伽 ran httd addlaiai deukx smpert Mi tie EiEtdl Devics onnml on DielDsois rrenu.Soncaon d&gcanedCarralEmbedded multiplier與bit cJemci.初idnIhM習(xí)臥 tCubut哆 out工口:CutoutQJput然后對(duì)輸入信號(hào)進(jìn)行賦值,賦值為周期性信號(hào)。編譯后保存.wknNode Finder仿真后效果如下將程序燒入板子如下(六)實(shí)驗(yàn)總結(jié)因?yàn)檫@個(gè)是第一次進(jìn)EDA實(shí)驗(yàn)室,對(duì)軟件硬件都不了解。遇到很多的簡(jiǎn)單問題,也就是操作上的不熟練

5、。對(duì)照書本上的步驟一步一步操作, 才能完成。真應(yīng)該加 強(qiáng)動(dòng)手能力。通過(guò)這次實(shí)驗(yàn)理解了全加器的原理和例化語(yǔ)句的使用,初步對(duì)EDA實(shí)驗(yàn)產(chǎn)生了興趣。二、??勺冇?jì)數(shù)器設(shè)計(jì)(一) 實(shí)驗(yàn)?zāi)康?、進(jìn)一步熟悉實(shí)驗(yàn)裝置和QuartusH軟件的使用;2、進(jìn)一步熟悉和掌握EDA設(shè)計(jì)流程;3、學(xué)習(xí)簡(jiǎn)單組合、時(shí)序電路的EDA設(shè)計(jì);4、學(xué)習(xí)計(jì)數(shù)器中二進(jìn)制碼到BCD碼的轉(zhuǎn)換技巧;5、學(xué)習(xí)實(shí)驗(yàn)裝置上數(shù)碼管的輸出方法。(二) 設(shè)計(jì)要求 完成設(shè)計(jì)、仿真、調(diào)試、下載、硬件測(cè)試等環(huán)節(jié),在EDA實(shí)驗(yàn)裝置上實(shí)現(xiàn)模可 變計(jì)數(shù)器功能,具體要求如下:1、設(shè)置一個(gè)按鍵控制改變模值, 按鍵按下時(shí)模為10-99之間(具體數(shù)值自行 確定)的數(shù),沒按下

6、時(shí)模為1 00- 1 99之間(具體數(shù)值自行確定)的數(shù);2、計(jì)數(shù)結(jié)果用三位數(shù)碼管十進(jìn)制顯示。(三) 主要儀器設(shè)備1、微機(jī)1臺(tái)2、QuartusII集成開發(fā)軟件1套3、EDA實(shí)驗(yàn)裝置1套(四) 實(shí)驗(yàn)思路 總體程序如下module jishuqi (CLK,rst,M,SG,en,q,d);input CLK,rst,en;input M;output SG; reg7:0 cnt;reg clk1;reg7:0 SG;reg sel;output reg11:0 q; reg11:0 model;reg3:0 a;output reg d; wire3:0 gw,sw,bw;assign gw3

7、:0=q3:0;assign sw3:0=q7:4;assign bw3:0=q11:8; always (M)if (M) model=12b000000110000; else model=12b000100000000;always (posedge CLK) begincnt=cnt+1;if (cnt=200)beginclk1=1b1;cnt=0;endelse clk1=1b0;if (sel2) sel=sel+1;else sel=0;endalways (posedge CLK or negedge rst)beginif(!rst)q=0;elseif(en) begin

8、 if(qmodel) beginif (gw=9) begin q=q+7;if (sw=9) q=q+96; endelse q=q+1; endelse q=0;endendalways (q)beginif(qmodel) d=0;else d=1;endalways (sel)begincase (sel)0: a=bw;1: a=sw;2: a=gw;default: a=0;endcasecase (a)0:SG=8b00111111; 1:SG=8b00000110;2:SG=8b01011011; 3:SG=8b01001111;4:SG=8b01100110; 5:SG=8

9、b01101101;6:SG=8b01111101; 7:SG=8b00000111;8:SG=8b01111111; 9:SG=8b01101111;default: SG=8b11111111;endcaseendendmodule分頻模塊分頻模塊由時(shí)鐘頻率的分頻作為計(jì)數(shù)脈沖,每200個(gè)系統(tǒng)脈沖有一個(gè)技術(shù)脈沖, 同時(shí)可以利用系統(tǒng)脈沖進(jìn)行循環(huán)選擇SEL來(lái)進(jìn)行循環(huán)掃碼。程序如下always (posedge CLK)begincnt=cnt+1;if (cnt=200)beginclk1=1b1;cnt=0;endelse clk1=1b0;if (sel2) sel=sel+1;else s

10、el=0;選擇模塊由M選擇,M為1時(shí)模是30,M為0時(shí)模為100。always (M)if (M)model=12b000000110000;else model=12b000100000000;計(jì)數(shù)模塊計(jì)數(shù)模塊如下,由于q為16進(jìn)制數(shù),我們需要的輸出為BCD碼,故進(jìn)行調(diào)整。 平常時(shí)q來(lái)一個(gè)脈沖加1,當(dāng)加到個(gè)位為9時(shí),也就是1001,將其加7變?yōu)?6也就是0,實(shí)現(xiàn)了個(gè)位由9到0的BCD計(jì)數(shù),同時(shí)也會(huì)向十位進(jìn)1。當(dāng)十位和個(gè) 位同時(shí),為9時(shí),q位加96也就是十位加6,再加上個(gè)位向十位進(jìn)的1就是加7, 十位會(huì)清零同時(shí)個(gè)位也會(huì)清零,同時(shí)向百位進(jìn)1,實(shí)現(xiàn)了正常的十進(jìn)制BCD碼 計(jì)數(shù)。Rst則為清零信號(hào),

11、en為使能信號(hào)。always (posedge CLK or negedge rst)beginif(!rst)q=0;elseif(en) beginif(qmodel)beginif (gw=9) begin q=q+7;if (sw=9)q=q+96; endelse q=q+1; endelse q=0;endEnd當(dāng)計(jì)數(shù)到頂后有個(gè)標(biāo)志位d標(biāo)志是否計(jì)到頂。always (q)beginif(qmodel) d=0;else d=1;end循環(huán)掃碼模塊如下,由于sei的變化頻率和系統(tǒng)時(shí)鐘相同,所以肉眼辨別不出來(lái) 在跳動(dòng),可以通過(guò)其分別選擇數(shù)碼管并將個(gè)位十位百位呈現(xiàn)在數(shù)碼管上, 同時(shí)配 有

12、譯碼程序。always (sel)beg incase (sel)0: a=bw;1: a=sw;2: a=gw;default: a=0;endcasecase (a)0:SG=8b00111111; 1:SG=8b00000110;2:SG=8b01011011; 3:SG=8b01001111;4:SG=8b01100110; 5:SG=8b01101101;6:SG=8b01111101; 7:SG=8b00000111;(五)實(shí)驗(yàn)截圖仿真時(shí)用CLK代替分頻頻率便于觀察總體圖Rst可以實(shí)現(xiàn)計(jì)數(shù)的清零良並丹占?jí)徘f)g期眶?。? 二I制辱rtesfTrre So-;ops* FoiitCT

13、; 122.06 mIhbrT 22.09nz當(dāng)M為1時(shí)實(shí)現(xiàn)了模30的計(jì)數(shù),同時(shí)標(biāo)志位為1當(dāng)M為0時(shí)實(shí)現(xiàn)了模100的計(jì)數(shù),同時(shí)標(biāo)志位為1使能信號(hào)en為0時(shí)計(jì)數(shù)保持不變(六)實(shí)驗(yàn)總結(jié) 初步進(jìn)行了自己設(shè)計(jì)程序和仿真一系列工作, 加深了對(duì)設(shè)計(jì)過(guò)程和語(yǔ)句使用的理 解。進(jìn)而對(duì)軟硬件的操作使用都有了進(jìn)一步的熟練, 遇到的基礎(chǔ)問題也能想辦法UFJTiw fiar:3既F3PELKBOOL 111!.:r KfliiiJgfl*鬢越SJd* H.HUt焉冒 gflf.Uhtt轉(zhuǎn)忡鼻訊沖* 汕M朋Ji ZLXtua住黑 HF3.I11bibtl1iK11-LrprT_r4rt_ri_ri_LT_LrLn_rT4

14、_U_i_rLTUrLrUn_rLjL-(- iir tji?nr iX i :i:X】【1丁丁片叩:n;| Q】!:需:忙丁 / ::T吠-斤in:-inir鼬:-TI: *(111111M7 IMOYMInbariAd賓13iK去解決了,不像第一次那樣手足無(wú)措了。語(yǔ)法語(yǔ)句也大概有了了解。二、序列信號(hào)發(fā)生和檢測(cè)器設(shè)計(jì)(一) 實(shí)驗(yàn)?zāi)康?、進(jìn)一步熟悉EDA實(shí)驗(yàn)裝置和QuartusH軟件的使用方法;2、學(xué)習(xí)有限狀態(tài)機(jī)法進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì);3、學(xué)習(xí)使用原理圖輸入法進(jìn)行設(shè)計(jì)。(二) 設(shè)計(jì)要求完成設(shè)計(jì)、仿真、調(diào)試、下載、硬件測(cè)試等環(huán)節(jié),在EDA實(shí)驗(yàn)裝置上實(shí)現(xiàn)一個(gè)串行序列信號(hào)發(fā)生器和一個(gè)序列信號(hào)檢測(cè)器的功能

15、,具體要求如下:1、先用設(shè)計(jì)01110110110010010(序列信號(hào)發(fā)生器,其最后6BIT數(shù)據(jù)用LED顯示出來(lái);2、再設(shè)計(jì)一個(gè)序列信號(hào)檢測(cè)器,檢測(cè)上述序列信號(hào),若檢測(cè)到串行序列“110110則輸出為“1”否則輸出為“0”(三)主要儀器設(shè)備1、 微機(jī)2、QuartusII集成開發(fā)軟件3、EDA實(shí)驗(yàn)裝置1臺(tái)1套1套(四)實(shí)驗(yàn)思路首先畫出狀態(tài)轉(zhuǎn)移圖覽廻4列l(wèi)lo 0總體程序如下module xulieji2(clk,rst,en,date,SOUT);parameter s0=1,s1=2,s2=3,s3=4,s4=5,s5=6,s6=7;input clk,rst,en;output date

16、,SOUT;wire SOUT;reg8:0 cnt;reg6:0 ST,NST;reg5:0 date; reg16:0 sdate;assign SOUT=(NST=s6);always ( posedge clk or negedge rst )beginif(!rst) beginST=s0;date=6b000000;sdate=17b011101101100100100;cnt=16;endelse if(en) beginST=NST;date5:1=date4:0; date0=sdatecnt;cnt=cnt-1;endelse cnt=0;case(ST)s0: begin

17、 if(date0=1b1)NST=s1;else NST=s0;end s1: beginif(date0=1b1) NST=s2;else NST=s0;end s2: begin if(date0=1b0)NST=s3;else NST=s2;end s3: begin if(date0=1b1) NST=s4;elseNST=s0;end s4: begin if(date0=1b1) NST=s5;else NST=s0;ends5: begin if(date0=1b0)NST=s6;else NST=s2;end s6: beginif(date0=1b0) NST=s0;els

18、e NST=s4;end default: NST=s0;endcase endendmodule這里用到了狀態(tài)機(jī)技術(shù),狀態(tài)機(jī)可以方便的設(shè)置程序滿足什么條件然后去往什么狀態(tài),利用狀態(tài)機(jī)可以實(shí)現(xiàn)檢測(cè)到110110時(shí)的狀態(tài),同時(shí)可以在不滿足時(shí)準(zhǔn)確的調(diào)往正確的狀態(tài)以便于下一步的判斷。狀態(tài)機(jī)簡(jiǎn)寫為FSM(Fi nite State Mach in e)主要分為2大類:第一類,若輸出只和 狀態(tài)有關(guān)而與輸入無(wú)關(guān),則稱為Moore狀態(tài)機(jī);第二類,輸出不僅和狀態(tài)有關(guān) 而且和輸入有關(guān)系,則稱為Mealy狀態(tài)機(jī)。要特別注意的是,因?yàn)镸ealy狀態(tài) 機(jī)和輸入有關(guān),輸出會(huì)受到輸入的干擾,所以可能會(huì)產(chǎn)生毛刺(Glitc

19、h)現(xiàn)象, 使用時(shí)應(yīng)當(dāng)注意。事實(shí)上現(xiàn)在市面上有很多EDA工具可以很方便的將狀態(tài)圖的 描述轉(zhuǎn)換成可以綜合的程序代碼。狀態(tài)機(jī)可歸納為4個(gè)要素,即現(xiàn)態(tài)、條件、動(dòng)作、次態(tài)。這樣的歸納,主要是出 于對(duì)狀態(tài)機(jī)的內(nèi)在因果關(guān)系的考慮。 “現(xiàn)態(tài)” 和“條件”是因,“動(dòng)作”和“次態(tài)” 是果。詳解如下:1現(xiàn)態(tài):是指當(dāng)前所處的狀態(tài)。2條件:又稱為“事件”,當(dāng)一個(gè)條件被滿足,將會(huì)觸發(fā)一個(gè)動(dòng)作,或者執(zhí)行一 次狀態(tài)的遷移。3動(dòng)作:條件滿足后執(zhí)行的動(dòng)作。動(dòng)作執(zhí)行完畢后,可以遷移到新的狀態(tài),也可 以仍舊保持原狀態(tài)。動(dòng)作不是必需的,當(dāng)條件滿足后,也可以不執(zhí)行任何動(dòng)作, 直接遷移到新狀態(tài)。4次態(tài):條件滿足后要遷往的新狀態(tài)。“次態(tài)”

20、是相對(duì)于“現(xiàn)態(tài)”而言的,“次態(tài)” 一旦被激活,就轉(zhuǎn)變成新的“現(xiàn)態(tài)”了。賦值模塊parameter s0=1,s1=2,s2=3,s3=4,s4=5,s5=6,s6=7;input clk,rst,en;output date,SOUT;wire SOUT;reg8:0 cnt;reg6:0 ST,NST;reg5:0 date;reg16:0 sdate;assign SOUT=(NST=s6);si到s6為狀態(tài)機(jī)的不同狀態(tài),elk為控制序列輸入的信號(hào),rst為清零信號(hào),en為使能信號(hào),SOUT為檢測(cè)到序列110110時(shí)的輸出信號(hào)1,ent為控制哪一位數(shù) 據(jù)進(jìn)行檢測(cè)的數(shù),ST和NST為狀態(tài)機(jī)現(xiàn)

21、在的狀態(tài)和下一時(shí)刻將要到來(lái)的狀態(tài),date為檢測(cè)到的6為數(shù)據(jù),sdate為輸入序列,當(dāng)檢測(cè)到狀態(tài)為s6時(shí),通過(guò)assign SOUT=(NST=s6)可以讓SOUT輸出為1.輸入序列模塊always ( posedge elk or negedge rst )beginif(!rst) beginST=s0;date=6b000000;sdate=17b011101101100100100;ent=16;endelse if(en) beginST=NST;date5:1=date4:0;date0=sdateent;ent=ent-1;endelse ent=0;Clk為序列輸入信號(hào),rst

22、為清零信號(hào),rst為低電平時(shí)將初始狀態(tài)設(shè)為sO,初始6位待檢測(cè)數(shù)據(jù)為000000,17位輸入序列為011101101100100100,先從最高位從左往右輸入,所以ent開始時(shí)為16。en為使能信號(hào),當(dāng)en為1時(shí)可以將下一狀 態(tài)NST賦予現(xiàn)在狀態(tài)ST,同時(shí)將序列進(jìn)行移位, 待檢測(cè)信號(hào)進(jìn)行輸入, 同時(shí)ent減1指向下一數(shù)據(jù)。如果en為0,則保持現(xiàn)在的狀態(tài)不進(jìn)行工作。狀態(tài)轉(zhuǎn)移模塊case(ST)s0:begin if(date0=1b1) NST=s1;else NST=s0;ends1:begin if(date0=1b1) NST=s2;else NST=s0;e nds2:begin if(

23、date0=1b0) NST=s3;else NST=s2;e nds3:begin if(date0=1b1) NST=s4;else NST=s0;e nds4:begin if(date0=1b1) NST=s5;else NST=s0;e nds5:begin if(date0=1b0) NST=s6;else NST=s2;e nds6:begin if(date0=1b0) NST=s0;else NST=s4;e nddefault: NST=s0; en dcase end=+ TtB 137UEU.L74J1EWllMlEn dmodule通過(guò)這個(gè)狀態(tài)轉(zhuǎn)移語(yǔ)句可以正確的實(shí)現(xiàn)檢

24、測(cè)到110110便可使?fàn)顟B(tài)到達(dá)s6,即便序列為110110110時(shí)也是可以的,原理附狀態(tài)轉(zhuǎn)移圖。(五)時(shí)序仿真ISat w:IUH17.ILULUIZLIL耘可以清楚的看出,當(dāng)檢測(cè)到110110序列時(shí)sout便為1,即便是連續(xù)的110110110也可以檢測(cè)出來(lái),證明了這個(gè)程序的正確性。(六)實(shí)驗(yàn)總結(jié)要求畫出狀態(tài)轉(zhuǎn)移圖,我把書上的狀態(tài)機(jī)部分仔細(xì)閱讀,參考了書上的程序編寫 了這個(gè)程序,一開始檢查的時(shí)候不符合要求,后來(lái)檢查是狀態(tài)轉(zhuǎn)移圖弄錯(cuò)了,修 改之后進(jìn)行仿真,便得到了正確的結(jié)果。狀態(tài)機(jī)在EDA的程序設(shè)計(jì)中應(yīng)用廣泛。經(jīng)過(guò)這次實(shí)驗(yàn)深刻掌握了狀態(tài)機(jī)技術(shù)和狀態(tài)轉(zhuǎn)移圖的畫法,進(jìn)一步激發(fā)了我對(duì)eda的興趣。四

25、、 交通燈控制器設(shè)計(jì)(一) 實(shí)驗(yàn)?zāi)康?、學(xué)習(xí)與日常生活相關(guān)且較復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì);2、進(jìn)一步熟悉EDA實(shí)驗(yàn)裝置和QuartusH軟件的使用方法;3、學(xué)習(xí)二進(jìn)制碼到BCD碼的轉(zhuǎn)換;(二) 設(shè)計(jì)要求 完成設(shè)計(jì)、仿真、調(diào)試、下載、硬件測(cè)試等環(huán)節(jié),在型EDA實(shí)驗(yàn)裝置上實(shí)現(xiàn)一 個(gè)由一條主干道和一條鄉(xiāng)間公路的匯合點(diǎn)形成的十字交叉路口的交通燈控制器 功能,具體要求如下:1、有MR(主紅)、MY(主黃)、MG(主綠)、CR(鄉(xiāng)紅)、CY(鄉(xiāng)黃)、CG(鄉(xiāng)綠)六盞交通燈需要控制;2、交通燈由綠轉(zhuǎn)紅前有4秒亮黃燈的間隔時(shí)間,由紅轉(zhuǎn)綠沒有間隔時(shí)間;3、鄉(xiāng)間公路右側(cè)各埋有一個(gè)串連傳感器,當(dāng)有車輛準(zhǔn)備通過(guò)鄉(xiāng)間公路時(shí),發(fā)出

26、請(qǐng)求信號(hào)S=1,其余時(shí)間S=0;4、 平時(shí)系統(tǒng)停留在主干道通行(MGCR)狀態(tài),一旦S信號(hào)有效,經(jīng)主道 黃燈4秒(MYCR)狀態(tài)后轉(zhuǎn)入鄉(xiāng)間公路通行(MRCG)狀態(tài),但要保證主干道 通行大于一分鐘后才能轉(zhuǎn)換;5、 一旦S信號(hào)消失,系統(tǒng)脫離MRCG狀態(tài),即經(jīng)鄉(xiāng)道黃燈4秒(MRCY) 狀態(tài)進(jìn)入MGCR狀態(tài),即使S信號(hào)一直有效,MRCG狀態(tài)也不得長(zhǎng)于20秒鐘;6、控制對(duì)象除紅綠燈之外,還包括分別在主干道和鄉(xiāng)間公路各有一個(gè)兩位十進(jìn)制倒計(jì)時(shí)數(shù)碼管顯示。(三)主要儀器設(shè)備1、微機(jī)1臺(tái)2、QuartusII集成開發(fā)軟件1套3、EDA實(shí)驗(yàn)裝置1套(四)實(shí)驗(yàn)思路總體程序如下程序大體分為S=1與S=0的情況, 不同

27、情況對(duì)應(yīng)不同處理方法, 每一次COUNTER均為0時(shí)根據(jù)S的1和0來(lái)改變數(shù)碼管的計(jì)數(shù)的燈的情況,具體原理在注釋中有。module jiaotong (S,clk,LED,COUNTER,LED1,sel);input clk;reg CPB;/時(shí)鐘input S;/指明鄉(xiāng)村路口是否有汽車的通行信號(hào)/信號(hào)燈的顯示/顯示時(shí)間的十位/數(shù)碼的顯示reg 5:0 LED;reg 7:0 COUNTER;reg 7:0 LED1;reg 35:0i;reg flag1;reg flag2;output 2:0 sel; reg 2:0sel;reg 3:0a;output 5:0 LED;output 7

28、:0 LED1;output 8:0 COUNTER;reg 7:0 cnt;initialbeginflag2=b0;flag1=b0;COUNTER7:0=D60;LED5:0=6b001100;/主干道是綠燈鄉(xiāng)村道是紅燈endalways (posedge clk)begin cnt=cnt+1;if (cnt=1000) begin CPB=1b1; cnt=0; endelse CPB=1b0;endalways (posedge clk)beginif (sel1) sel=sel+1; else sel=0;end /sel為數(shù)碼管選擇always (posedge clk)be

29、ginif(COUNTER3:0=4D0 & COUNTER7:4=4D0) /在數(shù)碼管是60時(shí)判斷 是否有信號(hào)s的出現(xiàn)beginif(S)S為1即鄉(xiāng)村想中斷的情況下beginif(LED5:0=6b001100) beginLED5:0=6b010100; /表示主干道是黃燈而鄉(xiāng)村是紅 燈COUNTER7:4=4D0;/顯示的是4秒鐘COUNTER3:0=4D4;endelse if(LED5:0=6b010100) /表示4秒鐘過(guò)后的鄉(xiāng)村開始通 路了beginLED5:0=6b100001; /表示主干道是紅燈而鄉(xiāng)村是綠 燈COUNTER7:4=4D2;/顯示的是20秒鐘COUNT

30、ER3:0=4D0;flag1=1b1;endbeginLED5:0=b100010; /表示主干道是紅燈而 鄉(xiāng)村是黃燈COUNTER7:4=4D0; /顯示的是4秒鐘COUNTER3:0=4D4;flag1=b0;endelse if(LED5:0=b100010) beginelse if(LED5:0=b100001)/表示20秒過(guò)后主干道通行LED5:0=b001100; /主干道是綠燈鄉(xiāng)村道是 紅燈COUNTER7:4=4D6; /顯示的是60秒鐘COUNTER3:0=4D0;endendelse/S為0即鄉(xiāng)村不想中斷的情況下beginif(LED5:0=b010100) /表示4秒

31、鐘過(guò)后的鄉(xiāng)村開始通路了beginLED5:0=b100001; /表示主干道是紅燈而鄉(xiāng)村是 綠燈COUNTER7:4=4D2; /顯示的是20秒鐘COUNTER3:0=4D0;flag1=1b1; /表示可以開始進(jìn)行20秒的檢查是否鄉(xiāng)村e(cuò)ndelse if(LED5:0=b100001) /表示20秒過(guò)后主干道可以通行 了beginLED5:0=b100010; /表示主干道是紅燈而鄉(xiāng)村 是黃燈COUNTER7:4=4D0; /顯示的是4秒鐘COUNTER3:0=4D4;flag1=b0;endelse if(LED5:0=b100010) /表示4秒過(guò)后主干道通行了beginLED5:0=b

32、001100; /表示主干道是綠燈而鄉(xiāng)村 是紅燈COUNTER7:4=4D6; /顯示的是60秒鐘COUNTER3:0=4D0;endelseif(LED5:0=b001100) beginflag2=b1; /60秒過(guò)后才可以讓鄉(xiāng)村中斷主干道LED5:0=b001100;/主干道是綠燈鄉(xiāng)村道是紅燈COUNTER7:4=4D6; /再次顯示60秒鐘COUNTER3:0=4D0;endendendelsebeginif(S & (flag2=b1) /表示鄉(xiāng)村道路有信號(hào)并且可以讓鄉(xiāng)村道路通行 了,鄉(xiāng)村想中斷beginLED5:0=b010100; /表示主干道是黃燈而鄉(xiāng)村是紅燈COUNT

33、ER7:4=4D0; /顯示的是4秒鐘COUNTER3:0=4D4;flag2=b0;endelse begin if(COUNTER3:0=4D0)/正常計(jì)數(shù)beginCOUNTER7:4=COUNTER7:4-4D1; COUNTER3:0=4D9;end elseCOUNTER3:0=COUNTER3:0-4D1; endend if(flag1=b1) begin/鄉(xiāng)村不想綠燈了,想中斷其20秒的綠燈if(!S) begin flag2=b0; flag1=b0; LED5:0=b100010; /表示主干道是紅燈而鄉(xiāng)村是黃燈COUNTER7:4=4D0; /顯示的是4秒鐘COUNTE

34、R3:0=4D4;endend end always (sel) begin case (sel)0: a=COUNTER7:4; /1數(shù)碼管為十位1: a=COUNTER3:0; default:a=0;endcase case(a)0:LED17:0=b00111111;1:LED17:0=b00000110;2:LED17:0=b01011011;3:LED17:0=b01001111;4:LED17:0=b01100110;5:LED17:0=b01101101;6:LED17:0=b01111101;7:LED17:0=b00000111;8:LED17:0=b01111111;9:

35、LED17:0=b01101111; default: LED17:0=8b11111111;endcase end endmoduleoutput 8:0 COUNTER; /數(shù)碼的顯示reg 5:0 LED;reg 7:0 COUNTER;reg 7:0 LED1;reg 35:0i;reg flag1;reg flag2;reg 7:0 cnt;initialbeginflag2=b0;flag1=b0;COUNTER7:0=D60;LED5:0=6b001100;Clk為系統(tǒng)時(shí)鐘1KHz,可以作為掃描頻率,將其分頻之后的CPB作為秒的計(jì)數(shù) 頻率,S為鄉(xiāng)道是否想中斷主道的信號(hào),sei為循

36、環(huán)掃描信號(hào),LED為信號(hào)燈的 顯示,LED1為顯示時(shí)間的十位,COUNTER為時(shí)間數(shù)碼的顯示。Flag1和flag2的處理方法賦值模塊input clk;reg CPB; input S;output 2:0sel;reg 2:0 sel;reg 3:0a;output 5:0 LED;output 7:0 LED1;/時(shí)鐘/指明鄉(xiāng)村路口是否有汽車的通行信號(hào)/信號(hào)燈的顯示/顯示時(shí)間的十位當(dāng)flag為1即在鄉(xiāng)道20秒運(yùn)行的過(guò)程中才為1,這時(shí)配合語(yǔ)句if(flag1=b1)begin /鄉(xiāng)村不想綠燈了,想中斷其20秒的綠 燈if(!S)beginflag2=b0;flag1=b0;LED5:0=b

37、100010;/表示主干道是紅燈而鄉(xiāng)村是黃燈COUNTER7:4=4D0; /顯示的是4秒鐘COUNTER3:0=4D4;就是在這時(shí)一旦檢測(cè)到S為0即鄉(xiāng)道沒車的時(shí)候,讓鄉(xiāng)道黃燈轉(zhuǎn)入主道60秒的計(jì)時(shí)。當(dāng)flag2為1即在主道60之后才為1,這時(shí)配合語(yǔ)句if(S & (flag2=b1)/表示鄉(xiāng)村道路有信號(hào)并且可以讓鄉(xiāng)村道路通行了,鄉(xiāng)村想中斷beginLED5:0=b010100;/表示主干道是黃燈而鄉(xiāng)村是紅燈COUNTER7:4=4D0;/顯示的是4秒鐘COUNTER3:0=4D4;flag2=b0;End即S=1同時(shí)flag2為1時(shí)才可轉(zhuǎn)入鄉(xiāng)道的20秒計(jì)時(shí)。通過(guò)這兩個(gè)信號(hào)正確地完 成需

38、要的功能。分頻模塊輸出CPB為1秒的信號(hào)always (posedge clk)begin cnt=cnt+1;if (cnt=1000) begin CPB=1b1; cnt=0; endelse CPB=1b0;數(shù)碼管掃描模塊 循環(huán)掃碼模塊如下,由于sel的變化頻率和系統(tǒng)時(shí)鐘相同,所以肉眼辨別不出來(lái) 在跳動(dòng),可以通過(guò)其分別選擇數(shù)碼管并將個(gè)位十位百位呈現(xiàn)在數(shù)碼管上, 同時(shí)配 有譯碼程序。always (sel) begincase (sel)0: a=COUNTER7:4; /1數(shù)碼管為十位1: a=COUNTER3:0;default: a=0;endcase case(a)0:LED17

39、:0=b00111111;1:LED17:0=b00000110;2:LED17:0=b01011011;3:LED17:0=b01001111;4:LED17:0=b01100110;5:LED17:0=b01101101;6:LED17:0=b01111101;7:LED17:0=b00000111;8:LED17:0=b01111111;9:LED17:0=b01101111; default: LED17:0=8b11111111;endcaseenden dmodule(五)時(shí)序仿真總體圖在主道開始60秒的計(jì)數(shù)過(guò)程中即使S為1也不會(huì)轉(zhuǎn)入鄉(xiāng)道運(yùn)行在主道60秒計(jì)數(shù)結(jié)束后可以轉(zhuǎn)入鄉(xiāng)道的20

40、秒,在鄉(xiāng)道的20秒過(guò)程中如果S為0則又返回主道計(jì)數(shù)這次實(shí)驗(yàn)是從EDA實(shí)驗(yàn)以來(lái)遇到的最復(fù)雜的代碼,其中的if語(yǔ)句眾多,而且嵌 套也多,開始的時(shí)候摸不到頭腦,編譯的時(shí)候在這些語(yǔ)句上也是出錯(cuò)最多了。 還 好有一定的代碼基礎(chǔ),我就在此基礎(chǔ)上進(jìn)行了一些修改和改進(jìn), 仿真也非常的成 功,去實(shí)驗(yàn)室進(jìn)行燒程序進(jìn)板子的時(shí)候,也很快。所以,這次實(shí)驗(yàn)的驗(yàn)收也很快。 這也大大的促使我學(xué)習(xí)的動(dòng)力,就想在下一次的實(shí)驗(yàn)中,學(xué)到更多。五、 多功能數(shù)字鐘設(shè)計(jì)(一)實(shí)驗(yàn)?zāi)康?、學(xué)習(xí)綜合且較復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì);2、學(xué)習(xí)多層次、多模塊數(shù)字系統(tǒng)設(shè)計(jì);3、學(xué)習(xí)數(shù)碼管掃描顯示電路設(shè)計(jì);(二)設(shè)計(jì)要求完成設(shè)計(jì)、仿真、調(diào)試、下載、硬件測(cè)試等環(huán)節(jié)

41、,在型EDA實(shí)驗(yàn)裝置上由簡(jiǎn)單 到復(fù)雜實(shí)現(xiàn)多功能數(shù)字鐘功能,具體要求如下:1、數(shù)碼管掃描顯示時(shí)、分、秒;2、具有正常計(jì)時(shí)和調(diào)時(shí)、調(diào)分、調(diào)秒等校時(shí)功能;3、經(jīng)設(shè)置應(yīng)具有整點(diǎn)報(bào)時(shí)功能;4、經(jīng)設(shè)置應(yīng)具有跑表功能;5、經(jīng)設(shè)置應(yīng)具有鬧鐘功能; 以下部分為擴(kuò)展要求:6、音樂鬧鐘功能;7、液晶顯示:年、月、日、時(shí)、分、秒、星期;8、自動(dòng)閏年、閏月的萬(wàn)年歷功能;(三)主要儀器設(shè)備1、微機(jī)1臺(tái)2、QuartusII集成開發(fā)軟件1套3、EDA實(shí)驗(yàn)裝置1套(四)實(shí)驗(yàn)思路整個(gè)程序采用模塊化的設(shè)計(jì)方法。基本計(jì)數(shù)模塊整個(gè)程序采用BCD碼計(jì)數(shù),即每一位要顯示的數(shù)據(jù)都用3位二進(jìn)制數(shù)來(lái)表示。 根據(jù)設(shè)計(jì)要求, 技術(shù)模塊應(yīng)有模60,

42、模24和模100的計(jì)數(shù),可以用模10的模6的和模24的三個(gè)模塊通過(guò)例化元件一步步實(shí)現(xiàn),而且計(jì)數(shù)模塊應(yīng)具有計(jì)數(shù)清零 和鎖存的功能。模10通過(guò)使能端en完成計(jì)數(shù)和鎖存ncr清零,cp為計(jì)數(shù)脈沖,Q為數(shù)據(jù)輸出。modulecounter10(Q,nCR,EN,CP);input nCR,EN,CP;output 3:0 Q;reg 3:0 Q;always (posedge CP or negedge nCR)beginif (nCR) Q=4b0000;else if (EN) Q=Q;else if ( Q=4b1001) Q=4b0000;else Q=Q+1b1;endendmodule模6

43、原理與模10相同module counter6(Q,nCR,EN,CP);input nCR,EN,CP;output 3:0 Q;reg 3:0 Q;always (posedge CP or negedge nCR)beginif (nCR) Q=4b0000;else if (EN) Q=Q;else if ( Q=4b0101) Q=4b0000;else Q=Q+1b1;end endmodule模60通過(guò)例化模10和模6的元件,二者計(jì)數(shù)脈沖相同, 進(jìn)位通過(guò)模6的使能段en在模10的為9的下一個(gè)脈沖時(shí)進(jìn)行使能選通從而進(jìn)位,否則鎖存數(shù)據(jù)。module counter6(Q,nCR,EN

44、,CP);input nCR,EN,CP;output 3:0 Q;reg 3:0 Q;always (posedge CP or negedge nCR)beginif (nCR) Q=4b0000;else if (EN) Q=Q;else if ( Q=4b0101) Q=4b0000;else Q=Q+1b1;endendmodule模100與模60原理相同module counter100(Cnt,nCR,EN,CP);input nCR,EN,CP; output 7:0 Cnt;wire 7:0 Cnt;wire ENP;counter10 UC0(Cnt3:0,nCR,EN,C

45、P);counter10 UC1(Cnt7:4,nCR,ENP,CP);assign ENP=(Cnt3:0=4b1001); endmodule模24計(jì)數(shù)也分為高位和低位, 低位為9時(shí)下一個(gè)脈沖向高位進(jìn)1同時(shí)低位清零,ncr為清零信號(hào),en為使能信號(hào)完成計(jì)數(shù)和鎖存,CP為計(jì)數(shù)脈沖,當(dāng)滿足高位 大于2或者低位大于9(實(shí)際不可能實(shí)現(xiàn),只是讓程序更嚴(yán)密)或者計(jì)數(shù)到23時(shí),下一個(gè)脈沖清零,否則正常計(jì)數(shù)加1,從而完成模24的計(jì)數(shù)。module counter24(CntH,CntL,nCR,EN,CP);input nCR,EN,CP;output 3:0 CntH,CntL;reg 3:0 CntH

46、,CntL;always (posedge CP or negedge nCR) beginif (nCR) CntH,CntL=8h00;else if (EN) CntH,CntL2)|(CntL9)|(CntH=2)&(CntL=3) CntH,CntL=8h00;else if (CntH=2)&(CntL3) begin CntH=CntH; CntL=CntL+1b1; endelse if (CntL=9) begin CntH=CntH+1b1; CntL=4b0000; end else beginCntH=CntH; CntL=CntL+1b1; end e

47、ndEndmodule分頻模塊 輸入為1KHz的信號(hào),調(diào)用了三個(gè)模10的計(jì)數(shù)模塊, 一個(gè)為10時(shí)作為下一個(gè)的 使能端信號(hào)從而讓下一個(gè)模10模塊進(jìn)行計(jì)數(shù),這樣就可以通過(guò)二進(jìn)制數(shù)計(jì)數(shù)時(shí) 個(gè)個(gè)位的變化規(guī)律進(jìn)行分頻獲得想要的頻率的脈沖信號(hào),程序中獲得了100HZ,500HZ,和1HZ的信號(hào)。module Divided_Frequency(_1HZOut,_500HZOut,nCR,_1KHZIn,_100HZ); inputnCR,_1KHZIn;output _1HZOut,_500HZOut,_100HZ;supply1 Vdd;wire 11:0 Q;wire EN1,EN2;counter1

48、0 DUO(Q3:0,nCR,Vdd,_1KHZIn); counter10DU1(Q7:4,nCR,EN1,_1KHZIn);counter10 DU2(Q11:8,nCR,EN2,_1KHZIn);assign EN1=(Q3:0=4h9);assign EN2=(Q3:0=4h9&Q7:4=4h9);assign _1HZOut=Q11;assign _500HZOut=Q0; assign _100HZ=Q3;endmodule主時(shí)鐘模塊 主時(shí)鐘模塊調(diào)用了兩個(gè)模60和一個(gè)模24的基本計(jì)數(shù)模塊,以完成正常的時(shí)鐘計(jì) 數(shù),當(dāng)檢測(cè)到有調(diào)時(shí)調(diào)分信號(hào)時(shí)便讓分或時(shí)進(jìn)位,否則秒為59的下一個(gè)脈

49、沖作 為分的計(jì)數(shù)信號(hào)使分進(jìn)1,分為59同時(shí)秒為59時(shí)的下一個(gè)脈沖時(shí)作為時(shí)的計(jì)數(shù) 信號(hào)使時(shí)進(jìn)1,用if語(yǔ)句實(shí)現(xiàn)。使能端則一直為1,計(jì)數(shù)脈沖接分頻后的一秒。 用1KHZ的頻率對(duì)計(jì)數(shù)進(jìn)行掃描獲得進(jìn)位信號(hào)。module top_clock(Hour,Minute,Second,nCR,_1HZ,AdjMinKey,AdjHrKey,HZ); inputnCR,_1HZ,AdjMinKey,AdjHrKey,HZ;output 7:0 Hour,Minute,Second;wire 7:0 Hour,Minute,Second;supply1 Vdd;reg 7:0 MinCP,HrCP;counter

50、60 UT1(Second,nCR,Vdd,_1HZ); counter60 UT2(Minute,nCR,Vdd,!MinCP);counter24 UT3(Hour7:4,Hour3:0,nCR,Vdd,!HrCP); always(posedge HZ)begin if (AdjMinKey) MinCP=(Second=8h59); else MinCP=1b1;end always(posedge HZ)begin if (AdjHrKey) HrCP=(Minute,Second=16h5959); else HrCP=1b1;endEndmodule鬧鐘模塊 設(shè)置了分和時(shí)的計(jì)數(shù)模

51、塊, 調(diào)用了模60和模24的模塊。為了消抖動(dòng), 脈沖技術(shù) 端接一秒的信號(hào), 調(diào)時(shí)調(diào)分信號(hào)非后接使能段, 這樣既可以正常計(jì)數(shù)又可以在不 用使能端時(shí)保持信號(hào)又可以消抖動(dòng)。 然后設(shè)置了比較程序, 當(dāng)主時(shí)鐘的輸出與鬧 鐘設(shè)置的相等而且鬧鐘ctrlbell為1時(shí)便輸出鬧鐘結(jié)果,否則鬧鐘一直輸出為0, 從而完成正常的功能。moduleBell(Alarn_Clock,Hour,Minute,Second,Set_Hr,Set_Min,SetHrKey,SetMinKey,_500HZ,_1KHZIn,_1HZ,CtrlBell);output Alarn_Clock; output 7:0 Set_Hr,

52、Set_Min;wire 7:0 Set_Hr,Set_Min;wire Alarn_Clock;input _500HZ,_1KHZIn,_1HZ;input SetHrKey,SetMinKey,CtrlBell;input 7:0 Hour,Minute,Second; supply1 Vdd;wire HrH_EQU,HrL_EQU,MinH_EQU,MinL_EQU;wire Time_EQU; counter60 SU1(Set_Min,Vdd,!SetMinKey,_1HZ);counter24 SU2(Set_Hr7:4,Set_Hr3:0,Vdd,!SetHrKey,_1HZ

53、);Comparator SU4(HrH_EQU,Set_Hr7:4,Hour7:4);Comparator SU5(HrL_EQU,Set_Hr3:0,Hour3:0);Comparator SU6(MinH_EQU,Set_Min7:4,Minute7:4);Comparator SU7(MinL_EQU,Set_Min3:0,Minute3:0); assignTime_EQU=(HrH_EQU & HrL_EQU & MinH_EQU & MinL_EQU);assign Alarn_Clock=CtrlBell ? Time_EQU:1b0; endmodul

54、emodule Comparator(EQU,A,B) ; input 3:0 A,B; output EQU; assign EQU=(A=B);endmodule秒表模塊 秒表的輸入脈沖為100Hz即1毫秒,調(diào)用了一個(gè)模100的計(jì)數(shù)模塊和兩個(gè)模60的計(jì)數(shù)模塊。毫秒為99時(shí)下一個(gè)脈沖向秒進(jìn)1,秒為59時(shí)同時(shí)毫秒為99時(shí)的 下一個(gè)脈沖向分進(jìn)1,使能端進(jìn)行計(jì)數(shù)與保持。清零端應(yīng)該單獨(dú)設(shè)置,否則會(huì)將 主時(shí)鐘也清零了。用1KHZ的頻率對(duì)計(jì)數(shù)進(jìn)行掃描獲得進(jìn)位信號(hào)。module stopwatch(Minute,Second,haomiao,nCR,en,_100HZ,HZ); inputnCR,_100

55、HZ,en,HZ;output 7:0 Minute,Second,haomiao; wire 7:0 Minute,Second,haomiao; reg 7:0miaoCP,SECP;counter100 UT1(haomiao,nCR,en,_100HZ); counter60UT2(Second,nCR,en,!miaoCP); counter60 UT3(Minute,nCR,en,!SECP);always(posedge HZ)begin if (haomiao=8h99) miaoCP=(haomiao=8h99); elsemiaoCP=(haomiao=8h99);end

56、always(posedge HZ)begin if (Second,haomiao=16h5999) SECP=(Second=8h99);else SECP=(Second,haomiao=16h5999);end endmodule整點(diǎn)報(bào)時(shí)模塊 只有當(dāng)分為59切秒為55時(shí),讓報(bào)警信號(hào)輸出時(shí)長(zhǎng)為5秒的信號(hào),計(jì)數(shù)脈沖為1秒的信號(hào)。module Radio(Alarn_Radio,Minute,Second,_1HZ);input _1KHZ;input 7:0 Minute,Second; output Alarn_Radio; reg Alarn_Radio;always(Minute,S

57、econd)if(Minute=8h59)case(Second)8h55:Alarn_Radio=1b1;8h56:Alarn_Radio=1b1; 8h57:Alarn_Radio=1b1; 8h58:Alarn_Radio=1b1;8h59:Alarn_Radio=1b1; default: Alarn_Radio=1b0; endcaseelse Alarn_Radio=1b0;endmodule頂層模塊調(diào)用上述的各個(gè)模塊, 設(shè)置一個(gè)報(bào)警信號(hào)為鬧鐘與整點(diǎn)報(bào)時(shí)的相或輸出。 再設(shè)置 循環(huán)掃碼模塊和選擇顯示模塊, 讓主時(shí)鐘秒表和鬧鐘的輸出信號(hào)均可顯示在數(shù)碼 管上并且互不影響。掃描頻率均為1K

58、HZ。modulecomplete_clock(LED_Hr,LED_Min,LED_Sec,Alarn,AdjMinKey,AdjHrKey,SetHrKey,SetMinKey,stopwatchnCR,stopwatchen,_1KHZIn,_1HZ,CtrlBell,Mode1,Mode2,nCR,sel,SG);input_1KHZIn,nCR,AdjMinKey,AdjHrKey,SetHrKey,SetMinKey,CtrlBell,Mode1,Mode2,stopwatchnCR,stopwatchen;output 7:0 LED_Hr,LED_Min,LED_Sec,Ala

59、rn,SG ,_1HZ;wire 7:0 LED_Hr,LED_Min,LED_Sec;wire _500HZ,_1HZ,_100HZ;wire 7:0Hour,Minute,Second,stopwatchHour,stopwatchMinute,stopwatchSecond;wire 7:0 Set_Hr,Set_Min;wire Alarn_Clock,Alarn_Radio;reg 3:0a;reg 2:0 sel;reg 7:0 SG;output 2:0 sel;Divided_Frequency U0(_1HZ,_500HZ,nCR,_1KHZIn,_100HZ); top_c

60、lockU1(Hour,Minute,Second,nCR,_1HZ,AdjMinKey,AdjHrKey,_1KHZIn);Radio U2(Alarn_Radio,Minute,Second,_1HZ);BellU3(Alarn_Clock,Hour,Minute,Second,Set_Hr,Set_Min,SetHrKey,SetMinKey,_500HZ,_1KHZIn,_1KHZIn,CtrlBell);stopwatch(stopwatchHour,stopwatchMinute,stopwatchSecond,stopwatchnCR,stopwatchen,_100HZ,_1KHZIn);assign Alarn=Alarn_Clock|Alarn_Radio;_3To1MUX Mu

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論