北京交通大學(xué)數(shù)字電子技術(shù)基礎(chǔ)(第二版)教案第一章_第1頁
北京交通大學(xué)數(shù)字電子技術(shù)基礎(chǔ)(第二版)教案第一章_第2頁
北京交通大學(xué)數(shù)字電子技術(shù)基礎(chǔ)(第二版)教案第一章_第3頁
北京交通大學(xué)數(shù)字電子技術(shù)基礎(chǔ)(第二版)教案第一章_第4頁
北京交通大學(xué)數(shù)字電子技術(shù)基礎(chǔ)(第二版)教案第一章_第5頁
已閱讀5頁,還剩57頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第一章第一章 數(shù)字邏輯基礎(chǔ)數(shù)字邏輯基礎(chǔ) 第一章第一章 數(shù)字邏輯基礎(chǔ)數(shù)字邏輯基礎(chǔ) 本章將依次討論數(shù)字系統(tǒng)中本章將依次討論數(shù)字系統(tǒng)中數(shù)的表示方法數(shù)的表示方法、常、常用的幾種用的幾種編碼編碼,然后介紹,然后介紹邏輯代數(shù)邏輯代數(shù)的基本概念和基的基本概念和基本理論,說明本理論,說明邏輯函數(shù)邏輯函數(shù)的基本表示形式及其化簡。的基本表示形式及其化簡。邏輯函數(shù)及其化簡。邏輯函數(shù)及其化簡。重點(diǎn)重點(diǎn): :二進(jìn)制數(shù)、二進(jìn)制數(shù)、常用的幾種編碼、常用的幾種編碼、邏輯代數(shù)基礎(chǔ)、邏輯代數(shù)基礎(chǔ)、第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼數(shù)制數(shù)制不同數(shù)制之間的轉(zhuǎn)換不同數(shù)制之間的轉(zhuǎn)換二進(jìn)制正負(fù)數(shù)的表示及運(yùn)算二進(jìn)制正負(fù)數(shù)的表示及運(yùn)算常用的編

2、碼常用的編碼第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 一、數(shù)制一、數(shù)制2 321031203+2 3十位數(shù)字十位數(shù)字2個(gè)位數(shù)字個(gè)位數(shù)字3權(quán)值基數(shù):基數(shù): 由由09十個(gè)數(shù)碼組十個(gè)數(shù)碼組成,基數(shù)為成,基數(shù)為10。位權(quán):位權(quán):102 101 100 10-1 10-2 10-3計(jì)數(shù)規(guī)律:計(jì)數(shù)規(guī)律: 逢十進(jìn)一逢十進(jìn)一權(quán)值1010的冪的冪十進(jìn)制(十進(jìn)制(Decimal) 10-1權(quán)權(quán) 權(quán)權(quán) 權(quán)權(quán) 權(quán)權(quán)任意一個(gè)十進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。任意一個(gè)十進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。(652.5)D位置計(jì)數(shù)法位置計(jì)數(shù)法按按權(quán)權(quán)展開式展開式(N)D=(Kn-1 K1 K0. K-1 K-m)D110

3、nmiiiK=Kn-1 10n-1 + +K1101 + K0100 + K-1 10-1 + + K-m 10-m十進(jìn)制(十進(jìn)制(Decimal)第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 = 6 102+ 5 101+ 2 100+ 5下標(biāo)下標(biāo)D表示十進(jìn)制表示十進(jìn)制二進(jìn)制(二進(jìn)制(Binary)第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 只由只由0、1兩個(gè)數(shù)碼和小數(shù)點(diǎn)組成,兩個(gè)數(shù)碼和小數(shù)點(diǎn)組成,不同數(shù)位上的數(shù)具有不同的權(quán)值不同數(shù)位上的數(shù)具有不同的權(quán)值2i?;鶖?shù)基數(shù)2,逢二進(jìn)一逢二進(jìn)一任意一個(gè)二進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。任意一個(gè)二進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。12nmiiiK(N)B=(

4、Kn-1 K1 K0. K-1 K-m)B=Kn-1 2n-1 + +K121 + K020 + K-1 2-1 + + K-m 2-m下標(biāo)下標(biāo)B表示二進(jìn)制表示二進(jìn)制任意任意R進(jìn)制進(jìn)制只由只由0 (R-1)R個(gè)數(shù)碼和小數(shù)點(diǎn)組成,個(gè)數(shù)碼和小數(shù)點(diǎn)組成,不同數(shù)位上的數(shù)具有不同的權(quán)值不同數(shù)位上的數(shù)具有不同的權(quán)值Ri,基數(shù)基數(shù)R,逢逢R進(jìn)一進(jìn)一。1nmiiRiK(N)R=(Kn-1 K1 K0. K-1 K-m)R=Kn-1 Rn-1 + +K1R1 + K0R0 + K-1 R-1 + + K-m R-m任意一個(gè)任意一個(gè)R進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。進(jìn)制數(shù),都可按其權(quán)位展成多項(xiàng)式的形式。常用

5、數(shù)制對照表常用數(shù)制對照表 十進(jìn)制十進(jìn)制 二進(jìn)制二進(jìn)制 八進(jìn)制八進(jìn)制 十六進(jìn)制十六進(jìn)制十進(jìn)制十進(jìn)制 二進(jìn)制二進(jìn)制 八進(jìn)制八進(jìn)制 十六進(jìn)制十六進(jìn)制012345678910111213141500000001001000110100010101100111100010011010101111001101111011110123456701234567101112131415161789ABCDEF第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 二、不同數(shù)制之間的轉(zhuǎn)換二、不同數(shù)制之間的轉(zhuǎn)換二進(jìn)制轉(zhuǎn)換成十進(jìn)制二進(jìn)制轉(zhuǎn)換成十進(jìn)制 十進(jìn)制轉(zhuǎn)換成二進(jìn)制十進(jìn)制轉(zhuǎn)換成二進(jìn)制 二進(jìn)制轉(zhuǎn)換成十六進(jìn)制二進(jìn)制轉(zhuǎn)換成十六進(jìn)制 十六進(jìn)制

6、轉(zhuǎn)換成二進(jìn)制十六進(jìn)制轉(zhuǎn)換成二進(jìn)制 例:例: ( 10011.101 )B= ( ? )D(10011.101)B124023022121120 121022123 二進(jìn)制轉(zhuǎn)換成十進(jìn)制二進(jìn)制轉(zhuǎn)換成十進(jìn)制 利用二進(jìn)制數(shù)的利用二進(jìn)制數(shù)的按權(quán)展開按權(quán)展開式式,可以將任意一個(gè)二進(jìn)制數(shù),可以將任意一個(gè)二進(jìn)制數(shù)轉(zhuǎn)換成相應(yīng)的十進(jìn)制數(shù)。轉(zhuǎn)換成相應(yīng)的十進(jìn)制數(shù)。(19.625)D第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 十進(jìn)制轉(zhuǎn)換成二進(jìn)制十進(jìn)制轉(zhuǎn)換成二進(jìn)制 整數(shù)部分的轉(zhuǎn)換整數(shù)部分的轉(zhuǎn)換除基取余法除基取余法:用目標(biāo)數(shù)制的:用目標(biāo)數(shù)制的基數(shù)基數(shù)(R=2=2)去除去除十進(jìn)制數(shù),十進(jìn)制數(shù),第一次第一次相除所得余數(shù)為目的數(shù)的相除所

7、得余數(shù)為目的數(shù)的最低位最低位K0 0,將所得將所得商商再除以再除以基數(shù)基數(shù),反復(fù)執(zhí)行上述過程,反復(fù)執(zhí)行上述過程,直到商為直到商為“0”“0”,所得余數(shù)為目所得余數(shù)為目的數(shù)的的數(shù)的最高位最高位Kn-1-1。例:(例:(29)D=(?)(?)B29147310 2 2 2 2 21K00K11K21K31K4LSBMSB得(得(29)D=(11101)B第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 十進(jìn)制轉(zhuǎn)換成二進(jìn)制十進(jìn)制轉(zhuǎn)換成二進(jìn)制 小數(shù)部分的轉(zhuǎn)換小數(shù)部分的轉(zhuǎn)換乘基取整法乘基取整法:小數(shù)小數(shù)乘以目標(biāo)數(shù)制的乘以目標(biāo)數(shù)制的基數(shù)基數(shù)(R=2=2),),第一次第一次相乘結(jié)果的相乘結(jié)果的整數(shù)整數(shù)部分為目的數(shù)的部分為

8、目的數(shù)的最高位最高位K-1-1,將其小數(shù)部分將其小數(shù)部分再乘基數(shù)依次記下整數(shù)部分,反復(fù)進(jìn)行下去,再乘基數(shù)依次記下整數(shù)部分,反復(fù)進(jìn)行下去,直到小數(shù)部分直到小數(shù)部分為為“0”“0”,或滿足要求的,或滿足要求的精度精度為止(即根據(jù)設(shè)備字長限制,為止(即根據(jù)設(shè)備字長限制,取有限位的近似值)。取有限位的近似值)。例:將十進(jìn)制數(shù)例:將十進(jìn)制數(shù)(0.723)D轉(zhuǎn)換成轉(zhuǎn)換成不大于不大于2-6的二的二進(jìn)制數(shù)。進(jìn)制數(shù)。 不大于不大于2-6 ,即要求保留到即要求保留到小數(shù)點(diǎn)后第六位。小數(shù)點(diǎn)后第六位。例:將十進(jìn)制數(shù)例:將十進(jìn)制數(shù)(0.723)D轉(zhuǎn)換成轉(zhuǎn)換成不大于不大于2-6的二進(jìn)的二進(jìn)制數(shù)。制數(shù)。0.723 2K-1

9、10.446K-20.892K-30.784K-40.568K-50.136由此得:由此得:(0.723)D=(0.101110)B十進(jìn)制十進(jìn)制二進(jìn)制二進(jìn)制八進(jìn)制、十六進(jìn)制八進(jìn)制、十六進(jìn)制第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 0.272 2 2 2 2 201110K-6 從從小數(shù)點(diǎn)小數(shù)點(diǎn)開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分每每4 4位位分為分為一組一組,不足不足四位的分別在整數(shù)的最高位前和小數(shù)四位的分別在整數(shù)的最高位前和小數(shù)的最低位后的最低位后加加“0”“0”補(bǔ)足,然后每組用等值的十六進(jìn)制碼補(bǔ)足,然后每組用等值的十六進(jìn)制碼替代,即得目的數(shù)。替代,即得目的數(shù)。例例:

10、(1011101.101001)B = (?)H ( (1011101.101001) B = (5D.A4) H1011101.101001小數(shù)點(diǎn)為界小數(shù)點(diǎn)為界000D5A4二進(jìn)制與十六進(jìn)制之間的轉(zhuǎn)換二進(jìn)制與十六進(jìn)制之間的轉(zhuǎn)換 第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 二進(jìn)制與八進(jìn)制之間的轉(zhuǎn)換二進(jìn)制與八進(jìn)制之間的轉(zhuǎn)換 從從小數(shù)點(diǎn)小數(shù)點(diǎn)開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分開始,將二進(jìn)制數(shù)的整數(shù)和小數(shù)部分每每3 3位位分為分為一組一組,不足不足三位的分別在整數(shù)的最高位前和小數(shù)的最三位的分別在整數(shù)的最高位前和小數(shù)的最低位后低位后加加“0”“0”補(bǔ)足,然后每組用等值的八進(jìn)制

11、碼替代,補(bǔ)足,然后每組用等值的八進(jìn)制碼替代,即得目的數(shù)。即得目的數(shù)。例例:(:(11010111.0100111)B = (?)Q(11010111.0100111)B = (327.234 )Q11010111.0100111小數(shù)點(diǎn)為界小數(shù)點(diǎn)為界000723234補(bǔ)碼分為兩種:補(bǔ)碼分為兩種:基數(shù)的補(bǔ)碼基數(shù)的補(bǔ)碼和和降基數(shù)的補(bǔ)碼降基數(shù)的補(bǔ)碼。前面介紹的十進(jìn)制和二進(jìn)制數(shù)都屬于前面介紹的十進(jìn)制和二進(jìn)制數(shù)都屬于原碼原碼。各種數(shù)制都有各種數(shù)制都有原碼原碼和和補(bǔ)碼補(bǔ)碼之分。之分。第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 三、二進(jìn)制正負(fù)數(shù)的表示及運(yùn)算三、二進(jìn)制正負(fù)數(shù)的表示及運(yùn)算 NNn 2補(bǔ)n是二進(jìn)制數(shù)是二進(jìn)制

12、數(shù)N整數(shù)部分的位數(shù)。整數(shù)部分的位數(shù)。 二進(jìn)制數(shù)二進(jìn)制數(shù)N 的基數(shù)的補(bǔ)碼又稱為的基數(shù)的補(bǔ)碼又稱為2 2的補(bǔ)碼,的補(bǔ)碼,常簡稱為常簡稱為補(bǔ)碼補(bǔ)碼,其定義為,其定義為例:例:1010補(bǔ)補(bǔ)=24-1010=10000-1010=01101010.101補(bǔ)補(bǔ)=24-1010.101=10000.000- 1010.101 =0101.011二進(jìn)制二進(jìn)制原碼原碼、補(bǔ)碼補(bǔ)碼及及反碼反碼 1010.101反反=(24-2-3)-1010.101 =1111.111-1010.101 =0101.010n是二進(jìn)制數(shù)是二進(jìn)制數(shù)N整數(shù)部分的位數(shù),整數(shù)部分的位數(shù),m是是N的小數(shù)部分的位數(shù)。的小數(shù)部分的位數(shù)。第一節(jié)第一

13、節(jié) 數(shù)制與編碼數(shù)制與編碼 例:例:1010反反=(24-20)-1010=1111-1010=0101 二進(jìn)制數(shù)二進(jìn)制數(shù)N的降基數(shù)補(bǔ)碼又稱為的降基數(shù)補(bǔ)碼又稱為1的補(bǔ)碼,習(xí)慣的補(bǔ)碼,習(xí)慣上稱為上稱為反碼反碼,其定義為,其定義為 NNmn)22(反二進(jìn)制二進(jìn)制原碼原碼、補(bǔ)碼補(bǔ)碼及及反碼反碼 N反反=01001001第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 二進(jìn)制二進(jìn)制原碼原碼、補(bǔ)碼補(bǔ)碼及及反碼反碼 例:例:N =10110110 根據(jù)定義,二進(jìn)制數(shù)的補(bǔ)碼可由反碼在最低有根據(jù)定義,二進(jìn)制數(shù)的補(bǔ)碼可由反碼在最低有效位加效位加1得到。得到。N補(bǔ)補(bǔ)= 無論是補(bǔ)碼還是反碼,按定義無論是補(bǔ)碼還是反碼,按定義再求補(bǔ)或

14、求反再求補(bǔ)或求反一次,將還原為原碼。一次,將還原為原碼。01001001+ 00000001 0100101001001010即即N補(bǔ)補(bǔ)= N反反+1+1即即N補(bǔ)補(bǔ)補(bǔ)補(bǔ)= N原原第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 例:例:(+43)D 二進(jìn)制正負(fù)數(shù)的表示法有原碼、反碼和補(bǔ)碼三二進(jìn)制正負(fù)數(shù)的表示法有原碼、反碼和補(bǔ)碼三種表示方法。對于種表示方法。對于正數(shù)正數(shù)而言,三種表示法都是一樣而言,三種表示法都是一樣的,即的,即符號位為符號位為0,隨后是,隨后是二進(jìn)制數(shù)的絕對值二進(jìn)制數(shù)的絕對值,也,也就是原碼。就是原碼。二進(jìn)制正負(fù)數(shù)的表示法二進(jìn)制正負(fù)數(shù)的表示法 符號位符號位絕對值絕對值 二進(jìn)制負(fù)數(shù)的原碼、反碼

15、和補(bǔ)碼二進(jìn)制負(fù)數(shù)的原碼、反碼和補(bǔ)碼= 00101011例:例:-25原原= 1 0011001-25反反= 1 1100110-25補(bǔ)補(bǔ)= 1 1100111符號位符號位“1”加原碼加原碼 符號位符號位“1”加反碼加反碼 符號位符號位“1”加補(bǔ)碼加補(bǔ)碼補(bǔ)碼運(yùn)算:補(bǔ)碼運(yùn)算: X1反反+X2反反 = X1+X2反反符號位參加運(yùn)算符號位參加運(yùn)算X1補(bǔ)補(bǔ)+X2補(bǔ)補(bǔ) = X1+X2補(bǔ)補(bǔ)符號位參加運(yùn)算符號位參加運(yùn)算 在數(shù)字電路中,用原碼求兩個(gè)正數(shù)在數(shù)字電路中,用原碼求兩個(gè)正數(shù)M和和N的減法運(yùn)算的減法運(yùn)算電路相當(dāng)復(fù)雜,但如果采用反碼或補(bǔ)碼,即可電路相當(dāng)復(fù)雜,但如果采用反碼或補(bǔ)碼,即可把原碼的把原碼的減法運(yùn)算

16、變成反碼或補(bǔ)碼的加法運(yùn)算減法運(yùn)算變成反碼或補(bǔ)碼的加法運(yùn)算,易于電路實(shí)現(xiàn)。,易于電路實(shí)現(xiàn)。補(bǔ)碼的算術(shù)運(yùn)算補(bǔ)碼的算術(shù)運(yùn)算 反碼運(yùn)算反碼運(yùn)算 :第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 例:例: X1 = 0001000,X2 = -0000011, 求求X1+ X2 解:解: X1反反+X2反反 = X1+X2反反X1反反 = 0 0001000X2反反 = 1 1111100+)1 0 0000100+) 1X1反反+X2反反= 0 0000101 反碼在進(jìn)行算術(shù)運(yùn)反碼在進(jìn)行算術(shù)運(yùn)算時(shí)不需判斷兩數(shù)符算時(shí)不需判斷兩數(shù)符號位是否相同。號位是否相同。當(dāng)符號位有進(jìn)位時(shí)需循當(dāng)符號位有進(jìn)位時(shí)需循環(huán)進(jìn)位,即把符號位

17、進(jìn)環(huán)進(jìn)位,即把符號位進(jìn)位加到和的最低位。位加到和的最低位。故得故得X1+ X2 = + 0000101例:例: X1 =-0001000,X2 = 0001011, 求求X1+ X2解:解: X1補(bǔ)補(bǔ)+X2補(bǔ)補(bǔ) = X1+X2補(bǔ)補(bǔ)X1補(bǔ)補(bǔ) = 1 1111000X2補(bǔ)補(bǔ) = 0 0001011+)1 0 0000011X1補(bǔ)補(bǔ)+X2補(bǔ)補(bǔ) = 0 0000011 符號位參加運(yùn)算。符號位參加運(yùn)算。不過不需循環(huán)進(jìn)位,如不過不需循環(huán)進(jìn)位,如有進(jìn)位,自動丟棄。有進(jìn)位,自動丟棄。故得故得 X1+ X2 = + 0000011自動丟棄自動丟棄第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 四、常用的四、常用的編碼編碼

18、二二十進(jìn)制碼十進(jìn)制碼 格雷碼格雷碼 校驗(yàn)碼校驗(yàn)碼 字符編碼字符編碼(一(一)二二十進(jìn)制碼(十進(jìn)制碼(BCD碼碼) 有權(quán)碼有權(quán)碼8421BCD碼碼 用四位自然二進(jìn)制碼的用四位自然二進(jìn)制碼的16種組合種組合中的前中的前10種,來表示十進(jìn)制數(shù)種,來表示十進(jìn)制數(shù)09,由高位到低位的權(quán)值為由高位到低位的權(quán)值為23、22、21、20,即為,即為8、4、2、1,由此得名。,由此得名。用文字、符號或數(shù)碼表示特定用文字、符號或數(shù)碼表示特定對象的過程稱為編碼。對象的過程稱為編碼。 此外,有權(quán)的此外,有權(quán)的BCD碼還有碼還有2421BCD碼和碼和5421BCD碼等。碼等。 無權(quán)碼無權(quán)碼余三碼是一種常用的無權(quán)余三碼是

19、一種常用的無權(quán)BCD碼。碼。常用的常用的BCD碼碼 十進(jìn)制十進(jìn)制8421BCD碼碼01234567890 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 12421BCD碼碼5421BCD碼碼余三碼余三碼 8 4 2 1b3 b2 b1 b0位權(quán)位權(quán)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 0 01 0 0 11 0 1 01 0 1

20、11 1 0 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 0 2 4 2 1b3 b2 b1 b0 5 4 2 1b3 b2 b1 b0無權(quán)無權(quán) 二二十進(jìn)制碼十進(jìn)制碼 格雷碼格雷碼 校驗(yàn)碼校驗(yàn)碼 字符編碼字符編碼四、常用的四、常用的編碼編碼: (二(二)格雷碼格雷碼2.2.編碼還具有反射性,因此又可稱其編碼還具有反射性,因此又可稱其為反射碼。為反射碼。1.1.任意兩組任意兩組相鄰碼相鄰碼之間只有之間只有一位一位不同。不同。第一節(jié)第一節(jié) 數(shù)制與編碼數(shù)制與編碼 注:首尾兩個(gè)數(shù)碼即最小數(shù)注:首尾兩個(gè)數(shù)碼即

21、最小數(shù)00000000和最和最大數(shù)大數(shù)10001000之間也符合此特點(diǎn),故它可之間也符合此特點(diǎn),故它可稱為循環(huán)碼。稱為循環(huán)碼。十進(jìn)制十進(jìn)制 B3 B2 B1 B0012345670 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 0十進(jìn)制十進(jìn)制 G3 G2 G1 G0891011121314151 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0 最常用的誤差檢驗(yàn)碼是奇偶校最常用的誤差檢驗(yàn)碼是奇偶校驗(yàn)碼,它的編碼方法是在信息碼驗(yàn)碼,它的編碼方法是在信息碼組外增加一位監(jiān)督碼元。組

22、外增加一位監(jiān)督碼元。(四)四)字符編碼字符編碼ASCII碼碼: :七位代碼表示七位代碼表示128個(gè)字符個(gè)字符 96個(gè)為圖形字符個(gè)為圖形字符 控制字符控制字符32個(gè)個(gè)(三)校驗(yàn)碼(三)校驗(yàn)碼第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ)邏輯變量及基本邏輯運(yùn)算邏輯變量及基本邏輯運(yùn)算邏輯函數(shù)及其表示方法邏輯函數(shù)及其表示方法 邏輯代數(shù)的運(yùn)算公式和規(guī)則邏輯代數(shù)的運(yùn)算公式和規(guī)則(一)邏輯變量(一)邏輯變量 取值:邏輯取值:邏輯0 0、邏輯、邏輯1 1。邏輯。邏輯0 0和邏輯和邏輯1 1不代表不代表數(shù)數(shù)值值大小大小,僅表示相互矛盾、相互對立的,僅表示相互矛盾、相互對立的兩種邏輯狀兩種邏輯狀態(tài)態(tài)。(二)基本邏輯運(yùn)算(

23、二)基本邏輯運(yùn)算邏輯與邏輯與 邏輯或邏輯或 邏輯非邏輯非 第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 一、邏輯變量及基本邏輯運(yùn)算一、邏輯變量及基本邏輯運(yùn)算邏輯符號邏輯符號邏輯表達(dá)式邏輯表達(dá)式F = =A B = = AB與邏輯真值表與邏輯真值表與邏輯關(guān)系表與邏輯關(guān)系表邏輯與邏輯與 開關(guān)開關(guān)A 開關(guān)開關(guān)B燈燈F斷 斷斷 合合 斷合 合滅滅滅亮ABF1 01 10 10 00010ABF 與邏輯運(yùn)算符,也有用與邏輯運(yùn)算符,也有用“ ”、“”“”、“”“”、“&”“&”表示。表示。第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 只有決定某一事件的只有決定某一事件的所有條件所有條件全部全部具備,這

24、一事件才能發(fā)生。具備,這一事件才能發(fā)生。UABF邏輯符號邏輯符號或邏輯真值表或邏輯真值表或邏輯關(guān)系表或邏輯關(guān)系表邏輯或邏輯或 開關(guān)開關(guān)A 開關(guān)開關(guān)B燈燈F斷 斷斷 合合 斷合 合亮亮亮滅ABF1 01 10 10 01110第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 決定某一事件的條件決定某一事件的條件有一個(gè)或有一個(gè)或一個(gè)以上一個(gè)以上具備,這一事件才能發(fā)生具備,這一事件才能發(fā)生。 邏輯表達(dá)式邏輯表達(dá)式F= A + BABFUFAB1 或邏輯運(yùn)算符,也有用或邏輯運(yùn)算符,也有用“”、“”表示。表示。非邏輯真值表非邏輯真值表非邏輯關(guān)系表非邏輯關(guān)系表邏輯非邏輯非 開關(guān)開關(guān)A 燈燈FAF第二節(jié)第二節(jié) 邏輯代

25、數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 當(dāng)決定某一事件的條件滿足時(shí),事當(dāng)決定某一事件的條件滿足時(shí),事件不發(fā)生;反之事件發(fā)生。件不發(fā)生;反之事件發(fā)生。邏輯表達(dá)式邏輯表達(dá)式 F = A “-” “-”非邏輯運(yùn)算符非邏輯運(yùn)算符UFAR斷 合亮滅1001邏輯符號邏輯符號ABF1與非邏輯運(yùn)算與非邏輯運(yùn)算F1=AB或非邏輯運(yùn)算或非邏輯運(yùn)算F2=A+B與或非邏輯運(yùn)算與或非邏輯運(yùn)算F3=AB+CD(三)復(fù)合邏輯運(yùn)算(三)復(fù)合邏輯運(yùn)算第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) ABF1 ABF21ABF3CD1 ABF1 01 10 10 01100邏輯表達(dá)式邏輯表達(dá)式F=A B=AB+AB ABF=1邏輯符號邏輯符號邏輯表達(dá)式邏輯表

26、達(dá)式F=A BABF1 01 10 10 00011第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 異或運(yùn)算異或運(yùn)算 同或運(yùn)算同或運(yùn)算“ ”異或邏輯異或邏輯運(yùn)算符運(yùn)算符= A B“”同或邏輯同或邏輯運(yùn)算符運(yùn)算符ABF=1邏輯符號邏輯符號ABF=(四)(四)正邏輯正邏輯與與負(fù)邏輯負(fù)邏輯(與門)(與門)(或門)(或門)第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) ABFVL VL VL電平關(guān)系電平關(guān)系VL VH VLVH VL VLVH VH VH正邏輯正邏輯ABF負(fù)邏輯負(fù)邏輯ABF0 0 00 1 01 0 01 1 11 1 11 0 10 1 10 0 0VH :高電平 VL:低電平邏輯0:VH 邏輯1:

27、 VL邏輯1:VH 邏輯0: VL 高電平高電平VH用邏輯用邏輯0表示,表示,低電平低電平VL用邏輯用邏輯1表示。表示。 正、負(fù)邏輯間關(guān)系正、負(fù)邏輯間關(guān)系正或正或 = 負(fù)與負(fù)與正與正與 = 負(fù)或負(fù)或正與非正與非 = 負(fù)或非負(fù)或非正或非正或非 = 負(fù)與非負(fù)與非1邏輯符號等效邏輯符號等效 在一種邏輯符號的所有入、在一種邏輯符號的所有入、出端同時(shí)加上或者去掉小圈。出端同時(shí)加上或者去掉小圈。 原來的符號互換(與原來的符號互換(與或、或、同或同或異或異或) 高電平高電平VH用邏輯用邏輯1表示,表示,低電平低電平VL用邏輯用邏輯0表示。表示。第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 1 1正邏輯正邏輯正與正

28、與正與非正與非正或正或正或非正或非1 1負(fù)邏輯負(fù)邏輯負(fù)與負(fù)與負(fù)與非負(fù)與非負(fù)或負(fù)或負(fù)或非負(fù)或非第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 二、邏輯函數(shù)及其表示方法二、邏輯函數(shù)及其表示方法 用有限個(gè)與、或、非等用有限個(gè)與、或、非等邏輯運(yùn)算符邏輯運(yùn)算符,應(yīng)用邏輯關(guān)系,應(yīng)用邏輯關(guān)系將若干個(gè)將若干個(gè)邏輯變量邏輯變量A、B、C等連接起來,所得的表達(dá)式等連接起來,所得的表達(dá)式稱為稱為邏輯函數(shù)邏輯函數(shù)。F(A,B)=A+B F(A,B,C)=A+BC輸出變量輸出變量邏輯函數(shù)的表示方法:邏輯函數(shù)的表示方法:邏輯圖邏輯圖邏輯表達(dá)式邏輯表達(dá)式 波形圖波形圖 真值表真值表 輸入變量輸入變量例:例:三個(gè)人表決一件事情,結(jié)果

29、按三個(gè)人表決一件事情,結(jié)果按“少數(shù)服從多數(shù)少數(shù)服從多數(shù)”的原則決定。試建立該問題的邏輯函數(shù)。的原則決定。試建立該問題的邏輯函數(shù)。ABCF00000100110111100101011111011000三個(gè)人意見分別用邏輯變量三個(gè)人意見分別用邏輯變量A、B、C表示表示表決結(jié)果用邏輯變量表決結(jié)果用邏輯變量F表示表示同意為邏輯同意為邏輯1,不同意為邏輯,不同意為邏輯0。表決通過為邏輯表決通過為邏輯1,不通過為邏輯不通過為邏輯0。1.真值表真值表2.邏輯函數(shù)表達(dá)式邏輯函數(shù)表達(dá)式 找出函數(shù)值為找出函數(shù)值為1的項(xiàng)。的項(xiàng)。 每個(gè)函數(shù)值為每個(gè)函數(shù)值為1 1的輸入變量的輸入變量取值組合寫成一個(gè)取值組合寫成一個(gè)乘

30、積項(xiàng)。乘積項(xiàng)。 這些乘積項(xiàng)作這些乘積項(xiàng)作邏輯加。邏輯加。F= ABC+ABC+ABC +ABC 輸入變量取值為輸入變量取值為1 1用原變量用原變量表示表示; ;反之,則用反變量表示反之,則用反變量表示ABC、ABC、ABC 、ABC 。1011111010111111第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 3.邏輯圖邏輯圖F= ABC+ABC+ABC +ABC乘積項(xiàng)乘積項(xiàng)用用與門與門實(shí)現(xiàn)實(shí)現(xiàn)和項(xiàng)和項(xiàng)用用或門或門實(shí)現(xiàn)實(shí)現(xiàn)4.波形圖波形圖ABF CAB CAB CAB C1ABCFA+ 0=A A+ 1=1A 0=0 A 1=A A A=0 A+A=1A A=A A+A=AA B = B A A

31、+ B = B + A (AB)C = A (BC) (A+B)+C = A+(B+C) A ( B+C ) = A B+ A C A+ B C =( A + B) (A+ C )0-1律律互補(bǔ)律互補(bǔ)律重疊律重疊律交換律交換律結(jié)合律結(jié)合律分配律分配律第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 三、邏輯代數(shù)的運(yùn)算公式和規(guī)則三、邏輯代數(shù)的運(yùn)算公式和規(guī)則反演律反演律A B= A+B A+ B=AB還原律還原律 A= A吸收律吸收律A+A B=A A (A+B)=AA+ A B =A+B A (A+ B) =A B AB+ A C +BC= AB+ A C(A+B)( A+ C )(B+C)= (A+B)

32、(A +C)第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 三、邏輯代數(shù)的運(yùn)算公式和規(guī)則三、邏輯代數(shù)的運(yùn)算公式和規(guī)則例:證明吸收律例:證明吸收律BABAA成立成立BAA)()(AABBBABABABBA)(互補(bǔ)律互補(bǔ)律重疊律重疊律第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) ABABABABABABAB例:證明反演律例:證明反演律A B= A+B 和和 A+ B=ABA BA BAB A+ BA BA+B000110111110111010001000由真值表得由真值表得 第二節(jié)第二節(jié) 邏輯代數(shù)基礎(chǔ)邏輯代數(shù)基礎(chǔ) 證:證:利用真值表利用真值表A B= A+B , A+ B=AB1110111010001000

33、 反演律又稱摩根定律,常反演律又稱摩根定律,常變形為變形為A B= A+B 和和 A+B=AB邏輯代數(shù)的運(yùn)算公式和規(guī)則邏輯代數(shù)的運(yùn)算公式和規(guī)則 三個(gè)基本運(yùn)算規(guī)則三個(gè)基本運(yùn)算規(guī)則 代入規(guī)則代入規(guī)則:任何含有某變量的等式,如果任何含有某變量的等式,如果等式等式中中所有出現(xiàn)此所有出現(xiàn)此變量變量的位置均代之以一個(gè)的位置均代之以一個(gè)邏輯函數(shù)式邏輯函數(shù)式,則此等式依然成立。,則此等式依然成立。例:例: A B= A+BBC替代替代B得得由此反演律能推廣到由此反演律能推廣到n個(gè)變量:個(gè)變量: n nAAA A AA2121利用反演律利用反演律 n nAAAA AA2121 ABC = A+BC= A+B+C

34、基本運(yùn)算規(guī)則基本運(yùn)算規(guī)則 反演規(guī)則反演規(guī)則:對于任意一個(gè)邏輯函數(shù)式對于任意一個(gè)邏輯函數(shù)式F,做如下處理:做如下處理: 若把式中的運(yùn)算符若把式中的運(yùn)算符“ ”換成換成“+ +”, “”, “+ +” ” 換成換成“ ”; 常量常量“0 0”換成換成“1 1”,“1 1”換成換成“0 0”; 原原變量換成變量換成反反變量,變量,反反變量換成變量換成原原變量,變量,那么得到的那么得到的新函數(shù)式新函數(shù)式稱為原函數(shù)式稱為原函數(shù)式F的的反函數(shù)式反函數(shù)式。例:例:F(A,B,C)CBAB )C A(BA 其反函數(shù)為其反函數(shù)為)CBA(BCA)BA(F 保持原函數(shù)的運(yùn)算次序保持原函數(shù)的運(yùn)算次序-先與后先與后或

35、,必要時(shí)適當(dāng)?shù)丶尤肜ㄌ??;?,必要時(shí)適當(dāng)?shù)丶尤肜ㄌ枴;具\(yùn)算規(guī)則基本運(yùn)算規(guī)則 對偶式對偶式: 對于任意一個(gè)邏輯函數(shù),做如下處理:對于任意一個(gè)邏輯函數(shù),做如下處理:1)若把式中的運(yùn)算符)若把式中的運(yùn)算符“.”換成換成“+”,“+”換成換成“.”;2)常量)常量“0”換成換成“1”,“1”換成換成“0”。得到的新函數(shù)為原函數(shù)得到的新函數(shù)為原函數(shù)F的對偶式的對偶式F,也稱對偶函數(shù)。也稱對偶函數(shù)。 對偶規(guī)則:對偶規(guī)則: 如果兩個(gè)函數(shù)式相等,則它們對應(yīng)的對偶式也相如果兩個(gè)函數(shù)式相等,則它們對應(yīng)的對偶式也相等。即等。即 若若F F1 1 = = F F2 2 則則F F1 1= = F F2 2。使公式的數(shù)

36、目增使公式的數(shù)目增加一倍。加一倍。 求對偶式時(shí)求對偶式時(shí)運(yùn)算順序不變運(yùn)算順序不變,且它只,且它只變換運(yùn)變換運(yùn)算符和常量算符和常量,其,其變量是不變變量是不變的。的。注:注: 函數(shù)式中有函數(shù)式中有“ ”和和“”“”運(yùn)算符,求反運(yùn)算符,求反函數(shù)及對偶函數(shù)時(shí),要將運(yùn)算符函數(shù)及對偶函數(shù)時(shí),要將運(yùn)算符“ ”換成換成“”, “ “”換成換成“ ”。 其對偶式其對偶式例:例:FB1C ABA )( FB0C ABA ) ()(第三節(jié)第三節(jié) 邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式函數(shù)表達(dá)式的常用形式函數(shù)表達(dá)式的常用形式邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式 五種常用表達(dá)式五種常用表達(dá)式F(A,B,C)“與與或或”

37、式式)(BACA“或或與與”式式CAAB“與非與非與非與非”式式 BACA“或非或非或非或非”式式BACA“與與或或非非”式式 表達(dá)式形式轉(zhuǎn)換表達(dá)式形式轉(zhuǎn)換函數(shù)表達(dá)式的常用形式函數(shù)表達(dá)式的常用形式 = AB+ AC基本形式基本形式例如函數(shù)例如函數(shù)F= AB+ AC 1.與與-或表達(dá)式轉(zhuǎn)換為或或表達(dá)式轉(zhuǎn)換為或-與表達(dá)式與表達(dá)式F = AB+ AC= AA+ AB+AC+BC= A(A+ B)+C(A+B)= (A +C) (A+ B)吸收率吸收率互補(bǔ)率互補(bǔ)率 2.與與-或表達(dá)式轉(zhuǎn)換為與非或表達(dá)式轉(zhuǎn)換為與非與非表達(dá)式與非表達(dá)式F = AB+ AC= AB+ AC= AB AC還原率還原率反演率反演

38、率 3.或或-與表達(dá)式轉(zhuǎn)換為或非與表達(dá)式轉(zhuǎn)換為或非或非表達(dá)式或非表達(dá)式F = (A +C) (A+ B)= (A +C) (A+ B)= A +C+ A+ B4.或或-與表達(dá)式轉(zhuǎn)換為與與表達(dá)式轉(zhuǎn)換為與-或或-非表達(dá)式非表達(dá)式= A C+ A B邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式最小項(xiàng):最小項(xiàng):n個(gè)變量有個(gè)變量有2 2n個(gè)最小項(xiàng),記作個(gè)最小項(xiàng),記作mi。3 3個(gè)變量有個(gè)變量有2 23 3(8 8)個(gè)最小項(xiàng)。個(gè)最小項(xiàng)。CBACBAm0m100000101CBABCACBACBACABABC m2m3m4m5m6m7010011100101110111234567n個(gè)變量的邏輯函數(shù)中,包括個(gè)變量的

39、邏輯函數(shù)中,包括全部全部n個(gè)變量個(gè)變量的的乘積項(xiàng)乘積項(xiàng)(每個(gè)變量必須而且只能以原變(每個(gè)變量必須而且只能以原變量或反變量的形式出現(xiàn)一次)。量或反變量的形式出現(xiàn)一次)。一、 最小項(xiàng)最小項(xiàng)和和最大項(xiàng)最大項(xiàng)乘積項(xiàng)乘積項(xiàng)和項(xiàng)和項(xiàng)最小項(xiàng)最小項(xiàng)二進(jìn)制數(shù)二進(jìn)制數(shù)十進(jìn)制數(shù)十進(jìn)制數(shù)編號編號 最小項(xiàng)編號最小項(xiàng)編號i:各輸各輸入變量取值看成二進(jìn)制入變量取值看成二進(jìn)制數(shù),對應(yīng)十進(jìn)制數(shù)。數(shù),對應(yīng)十進(jìn)制數(shù)。0 0 1A B C0 0 0m m0 0CBAm m1 1m m2 2m m3 3m m4 4m m5 5m m6 6m m7 7CBACBABCACBACBACAB ABC1 -20niimF10000000010

40、00000110 1 00 1 11 0 01 0 11 1 01 1 1000000000000100000010000001000000100000010000001111111三變量的最小項(xiàng)三變量的最小項(xiàng) 最小項(xiàng)的性質(zhì):最小項(xiàng)的性質(zhì): 同一組變量取值:任意同一組變量取值:任意兩個(gè)不同兩個(gè)不同最小最小項(xiàng)的項(xiàng)的乘積乘積為為0,即,即mi mj=0 (ij)。 全部全部最小項(xiàng)之最小項(xiàng)之和和為為1,即,即1201niim 任意一組變量取值:任意一組變量取值:只有一個(gè)只有一個(gè)最小最小 項(xiàng)的項(xiàng)的值為值為1,其它最小項(xiàng)的值均為,其它最小項(xiàng)的值均為0。n個(gè)變量有個(gè)變量有2 2n個(gè)最大項(xiàng),記作個(gè)最大項(xiàng),記

41、作 i。n個(gè)變量的邏輯函數(shù)中,包括個(gè)變量的邏輯函數(shù)中,包括全部全部n個(gè)變量的個(gè)變量的和項(xiàng)和項(xiàng)(每個(gè)變量必須而且只能以原變量或反(每個(gè)變量必須而且只能以原變量或反變量的形式出現(xiàn)一次)。變量的形式出現(xiàn)一次)。 同一組變量同一組變量, 取值任意的取值任意的兩個(gè)不同兩個(gè)不同最最大項(xiàng)的大項(xiàng)的和和為為1,即,即Mi+Mj=1 (ij)。 全部全部最大項(xiàng)之最大項(xiàng)之積積為為0,即,即 任意一組變量取值,任意一組變量取值,只有一個(gè)只有一個(gè)最大項(xiàng)最大項(xiàng)的值為的值為0,其它最大項(xiàng)的值均為,其它最大項(xiàng)的值均為1。最大項(xiàng):最大項(xiàng):最大項(xiàng)的性質(zhì):最大項(xiàng)的性質(zhì):1200niiM邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式 最小項(xiàng)與

42、最大項(xiàng)的關(guān)系最小項(xiàng)與最大項(xiàng)的關(guān)系 相同編號的最小項(xiàng)和最大項(xiàng)存在互補(bǔ)關(guān)系。相同編號的最小項(xiàng)和最大項(xiàng)存在互補(bǔ)關(guān)系。即即: mi =Mi Mi =mi 7531mmmmF 例:例:7531mmmmFm1m3m5m7= 7531MMMM=) , , ,(m6510F, , ,(7) m432F = M(2,3,4,7)FF = M(0,1,5,6)例:例:由若干個(gè)最小項(xiàng)之和表示的表達(dá)式由若干個(gè)最小項(xiàng)之和表示的表達(dá)式F,其反函數(shù)其反函數(shù)F可用與這些最小項(xiàng)相對應(yīng)的最大項(xiàng)之積表示??捎门c這些最小項(xiàng)相對應(yīng)的最大項(xiàng)之積表示。邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式 標(biāo)準(zhǔn)積之和標(biāo)準(zhǔn)積之和( 最小項(xiàng))表達(dá)式最小項(xiàng))表達(dá)

43、式D C BADCBADC B AD C B ADCBAF),(8510mmmm)8 , 5 , 1 , 0(m 式中的每一個(gè)式中的每一個(gè)乘積項(xiàng)均為最小項(xiàng)乘積項(xiàng)均為最小項(xiàng)CBBACDBBADCBAF)()(CDBABCDADCBAABCDCDBABCDADCBmmmmmm)0,11,14,151 , 9 , 7 , 3(m解:解:)()(DDCBADDABC例:例:的標(biāo)準(zhǔn)積之和表達(dá)式。的標(biāo)準(zhǔn)積之和表達(dá)式。ACCDADCBAF求函數(shù)求函數(shù)利用互補(bǔ)律,補(bǔ)利用互補(bǔ)律,補(bǔ)上所缺變量上所缺變量B。DCBACDBADABC利用互補(bǔ)律,補(bǔ)利用互補(bǔ)律,補(bǔ)上所缺變量上所缺變量D。邏輯函

44、數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式A B C0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1mi01234567FMi0123456701010101例:例:已知函數(shù)的真值表,求該函數(shù)的標(biāo)準(zhǔn)積之和表達(dá)式。已知函數(shù)的真值表,求該函數(shù)的標(biāo)準(zhǔn)積之和表達(dá)式。 從真值表找出從真值表找出F為為1的對應(yīng)最小項(xiàng)。的對應(yīng)最小項(xiàng)。解解:0 0 1 1 1 1 0 1 1 3 3 1 1 0 1 5 5 1 1 1 1 7 7 1 然后將這些項(xiàng)邏輯加。然后將這些項(xiàng)邏輯加。F(A,B,C)ABCCBABCACBA7531mmmm)7 , 5 , 3 , 1 (m 函數(shù)的最小項(xiàng)函數(shù)的最小項(xiàng)表

45、達(dá)式是唯一的。表達(dá)式是唯一的。 標(biāo)準(zhǔn)和之積標(biāo)準(zhǔn)和之積 ( 最大項(xiàng))表達(dá)式最大項(xiàng))表達(dá)式)()(),(CBACBACBACBAF) 7 , 4 , 0(047MMMM邏輯函數(shù)的標(biāo)準(zhǔn)形式邏輯函數(shù)的標(biāo)準(zhǔn)形式 式中的每一個(gè)式中的每一個(gè)或項(xiàng)均為最大項(xiàng)?;蝽?xiàng)均為最大項(xiàng)。A B C0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1mi01234567FMi0123456701010101例:例:已知函數(shù)的真值表,求該函數(shù)的標(biāo)準(zhǔn)和之積表達(dá)式。已知函數(shù)的真值表,求該函數(shù)的標(biāo)準(zhǔn)和之積表達(dá)式。 從真值表找出從真值表找出F為為1的對應(yīng)最大項(xiàng)。的對應(yīng)最大項(xiàng)。解解:0 0 1 1 1 1 0

46、 1 1 3 3 1 1 0 1 5 5 1 1 1 1 7 7 1 然后將這些項(xiàng)邏輯與。然后將這些項(xiàng)邏輯與。 函數(shù)的最大項(xiàng)函數(shù)的最大項(xiàng)表達(dá)式是唯一的。表達(dá)式是唯一的?!?”代以原變量代以原變量,“1”代以反變量代以反變量)()(CBACBACBA)(),(CBACBAF0246MMMM)6 , 4 , 2 , 0(M第四節(jié)第四節(jié) 邏輯函數(shù)的簡化邏輯函數(shù)的簡化代數(shù)法化簡邏輯函數(shù)代數(shù)法化簡邏輯函數(shù)圖解法化簡邏輯函數(shù)圖解法化簡邏輯函數(shù) 具有無關(guān)項(xiàng)的邏輯函數(shù)化簡具有無關(guān)項(xiàng)的邏輯函數(shù)化簡函數(shù)化簡的目的函數(shù)化簡的目的 邏輯電路所用門的數(shù)量少邏輯電路所用門的數(shù)量少 每個(gè)門的輸入端個(gè)數(shù)少每個(gè)門的輸入端個(gè)數(shù)少

47、 邏輯電路構(gòu)成級數(shù)少邏輯電路構(gòu)成級數(shù)少 邏輯電路保證能可靠地工作邏輯電路保證能可靠地工作 降低成本降低成本提高電路的工作提高電路的工作速度和可靠性速度和可靠性第四節(jié)第四節(jié) 邏輯函數(shù)的化簡邏輯函數(shù)的化簡與或表達(dá)式最簡的標(biāo)準(zhǔn)與或表達(dá)式最簡的標(biāo)準(zhǔn) 與項(xiàng)最少,即表達(dá)式中與項(xiàng)最少,即表達(dá)式中“+”“+”號最少。號最少。 每個(gè)與項(xiàng)中變量數(shù)最少,即表達(dá)式中每個(gè)與項(xiàng)中變量數(shù)最少,即表達(dá)式中“ ”號最少。號最少。 實(shí)現(xiàn)電路的與門少實(shí)現(xiàn)電路的與門少 下級或門輸入端個(gè)數(shù)少下級或門輸入端個(gè)數(shù)少與門的輸入端個(gè)數(shù)少與門的輸入端個(gè)數(shù)少方法:方法: 并項(xiàng):利用并項(xiàng):利用1 AA將兩項(xiàng)并為一項(xiàng),消去將兩項(xiàng)并為一項(xiàng),消去一個(gè)變量

48、一個(gè)變量。 吸收:利用吸收:利用 A + AB = A消去多余的與項(xiàng)消去多余的與項(xiàng)。 消元:利用消元:利用BABAA消去多余因子消去多余因子。第四節(jié)第四節(jié) 邏輯函數(shù)的化簡邏輯函數(shù)的化簡一、代數(shù)法化簡邏輯函數(shù)一、代數(shù)法化簡邏輯函數(shù) 配項(xiàng):先乘以配項(xiàng):先乘以 A+A或加上或加上 AA,增加必要的乘積項(xiàng),增加必要的乘積項(xiàng),再用以上方法化簡。再用以上方法化簡。代數(shù)法化簡函數(shù)代數(shù)法化簡函數(shù)例:化簡邏輯函數(shù)例:化簡邏輯函數(shù)F = AB+AC+AD+ABCDF = A(B+C+D)+ABCD解:解:= ABCD+ ABCD= A(BCD+ BCD)= A反演律并項(xiàng)法例:化簡邏輯函數(shù)例:化簡邏輯函數(shù)F = (

49、 (A+B+C)()(B+BC+C)()(DC+DE+DE) )( (C+D) )1= ( (A+B+C) )( (C+D) )= AC+BC+AD+BD+CD= AC+BC+CD二二變變量量K圖圖A B mi圖形法化簡函數(shù)圖形法化簡函數(shù) 卡諾圖(卡諾圖(K圖)圖) 圖中圖中一小格一小格對應(yīng)真值表中的對應(yīng)真值表中的一一行行,即一個(gè),即一個(gè)最小項(xiàng)最小項(xiàng),又稱真值圖。,又稱真值圖。AABBABBAABABAB1010 m0 m1 m2 m30 00 11 01 1 m0 m1 m2 m3ABC01000111100001111000011110 m0 m1 m2 m3 m4 m5 m6 m7 m0

50、 m1 m2 m3 m4 m5 m6 m7 m12 m13 m14 m15 m8 m9 m10 m11ABCD三三變變量量K圖圖四四變變量量K圖圖0001111000011110ABCD(1)n個(gè)邏輯變量的函數(shù),個(gè)邏輯變量的函數(shù),卡諾圖有卡諾圖有2n個(gè)方格,對應(yīng)個(gè)方格,對應(yīng)2n個(gè)最小項(xiàng)。個(gè)最小項(xiàng)。(2)行列兩組變量取值按)行列兩組變量取值按循環(huán)碼規(guī)律排列,相鄰最循環(huán)碼規(guī)律排列,相鄰最小項(xiàng)為邏輯相鄰項(xiàng)。小項(xiàng)為邏輯相鄰項(xiàng)。(3)相鄰有鄰接和對稱兩)相鄰有鄰接和對稱兩種情況。種情況。特點(diǎn):特點(diǎn):1. 已知函數(shù)為最小項(xiàng)表達(dá)式,存在的最小項(xiàng)對應(yīng)的格已知函數(shù)為最小項(xiàng)表達(dá)式,存在的最小項(xiàng)對應(yīng)的格填填1,其余

51、格均填,其余格均填0。2. 若已知函數(shù)的真值表,將真值表中使函數(shù)值為若已知函數(shù)的真值表,將真值表中使函數(shù)值為1的那的那些最小項(xiàng)對應(yīng)的方格填些最小項(xiàng)對應(yīng)的方格填1,其余格均填,其余格均填0。3. 函數(shù)為一個(gè)復(fù)雜的運(yùn)算式,則先將其變成函數(shù)為一個(gè)復(fù)雜的運(yùn)算式,則先將其變成與或式與或式,再用直接法填寫。再用直接法填寫。圖形法化簡函數(shù)圖形法化簡函數(shù) 用用卡諾圖表示邏輯函數(shù)卡諾圖表示邏輯函數(shù)例:某函數(shù)的真值表如圖所示,用卡諾圖表示例:某函數(shù)的真值表如圖所示,用卡諾圖表示該邏輯函數(shù)。該邏輯函數(shù)。ABCF00000100100100010111110101111110ABC000111100111110000

52、F= ABC+ABC+ABC+ABC例:用卡諾圖表示該邏輯函數(shù)例:用卡諾圖表示該邏輯函數(shù)ABC0001111001100001111011111100000001111000011110ABCD四四變變量量K圖圖 m0 m1 m2 m3 m4 m5 m6 m7 m12 m13 m14 m15 m8 m9 m10 m11圖形法化簡函數(shù)圖形法化簡函數(shù) 兩個(gè)相鄰格圈在兩個(gè)相鄰格圈在一起,結(jié)果消去一個(gè)一起,結(jié)果消去一個(gè)變量。變量。ABD ADA1 四個(gè)相鄰格圈在四個(gè)相鄰格圈在一起,結(jié)果消去兩個(gè)一起,結(jié)果消去兩個(gè)變量。變量。 八個(gè)相鄰格圈在八個(gè)相鄰格圈在一起,結(jié)果消去三個(gè)一起,結(jié)果消去三個(gè)變量。變量???/p>

53、諾圖化簡函數(shù)依據(jù)卡諾圖化簡函數(shù)依據(jù): 幾何相鄰的幾何相鄰的2i(i = 1、2、3n)個(gè)小格個(gè)小格可合可合并在一起構(gòu)成正方形或矩形圈,消去并在一起構(gòu)成正方形或矩形圈,消去i個(gè)變量,而個(gè)變量,而用含用含(n - i)個(gè)變量的積項(xiàng)標(biāo)注該圈個(gè)變量的積項(xiàng)標(biāo)注該圈。 上下左右上下左右?guī)缀蜗噜弾缀蜗噜彽姆礁竦姆礁駜?nèi),只有內(nèi),只有一個(gè)因子不同。一個(gè)因子不同。 十六個(gè)相鄰格十六個(gè)相鄰格圈在一起,結(jié)果圈在一起,結(jié)果 mi=1??ㄖZ圖合并最小項(xiàng)原則卡諾圖合并最小項(xiàng)原則:(1)圈要盡可能大圈要盡可能大,每個(gè)圈包含,每個(gè)圈包含2n個(gè)相鄰項(xiàng)。個(gè)相鄰項(xiàng)。(2)圈的)圈的個(gè)數(shù)要少個(gè)數(shù)要少,使化簡后邏輯函數(shù)的與項(xiàng)最少。,使化簡后邏輯函數(shù)的與項(xiàng)最少。(3)所有含)所有含1的格都應(yīng)被圈入,以防止遺漏積項(xiàng)。的格都應(yīng)被圈入,以防止遺漏積項(xiàng)。(4)圈)圈可重復(fù)包圍可重復(fù)包圍但每個(gè)圈內(nèi)必須有但每個(gè)圈內(nèi)必須有新新的最小項(xiàng)。的最小項(xiàng)。 圖形法化簡函數(shù)圖形法化簡函數(shù) 與或表達(dá)式的簡化與或表達(dá)式的簡化步步驟驟 由真值表或函數(shù)表達(dá)式畫出邏輯函數(shù)的卡諾由真值表或函數(shù)表達(dá)式畫出邏輯函數(shù)的卡諾圖。圖。 合并相鄰的最小項(xiàng),注意將圖上填合并相鄰的最小項(xiàng),注意將圖上填1的方格的方格圈起來,要求圈的圈起來,要求圈的數(shù)量少數(shù)量少、范圍大范圍大

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論