張玲畢業(yè)設(shè)計(jì)_第1頁(yè)
張玲畢業(yè)設(shè)計(jì)_第2頁(yè)
張玲畢業(yè)設(shè)計(jì)_第3頁(yè)
張玲畢業(yè)設(shè)計(jì)_第4頁(yè)
張玲畢業(yè)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩38頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、揚(yáng)州工業(yè)職業(yè)技術(shù)學(xué)院2010 2011學(xué)年第 一 學(xué)期畢業(yè)設(shè)計(jì)課題名稱: 數(shù)字溫度計(jì)設(shè)計(jì)與仿真 設(shè)計(jì)時(shí)間: 2010年9月-2010年12月 系 部: 電子信息工程系 班 級(jí): 0801應(yīng)用電子 姓 名: 張 玲 指導(dǎo)教師: 王 斌 總目錄第一部分 任務(wù)書(shū) 第二部分 開(kāi)題報(bào)告 第三部分 畢業(yè)設(shè)計(jì)正文第 一 部 分任務(wù)書(shū)揚(yáng)州工業(yè)職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)任務(wù)書(shū)系 部電子信息工程系指導(dǎo)老師王斌職稱副教授學(xué)生姓名張玲班級(jí)0801應(yīng)用電子學(xué)號(hào)0805160110設(shè)計(jì)題目數(shù)字溫度計(jì)設(shè)計(jì)與仿真設(shè)計(jì)內(nèi)容目標(biāo)和要求設(shè)計(jì)內(nèi)容目標(biāo):本設(shè)計(jì)將介紹一種基于單片機(jī)控制的數(shù)字溫度計(jì),可以進(jìn)行報(bào)警,并把測(cè)量到的數(shù)據(jù)進(jìn)行顯示和控制

2、。 設(shè)計(jì)要求:1、提出選題的初步設(shè)想和研究目的2、基本范圍-55-1283、精度誤差小于0.54、LED數(shù)碼直讀顯示5、 畫(huà)出完整電路圖,寫(xiě)出設(shè)計(jì)總結(jié)報(bào)告。教研室審核系部審核第 二 部 分開(kāi)題報(bào)告揚(yáng)州工業(yè)職業(yè)技術(shù)學(xué)院 電子信息工程 系11屆畢業(yè)設(shè)計(jì)(論文)開(kāi)題報(bào)告書(shū)(表1)學(xué)生姓名張玲專業(yè)應(yīng)用電子技術(shù)班級(jí)0801應(yīng)用電子學(xué)號(hào)0805160110題 目數(shù)字溫度計(jì)設(shè)計(jì)與仿真指導(dǎo)教師王斌職稱副教授學(xué) 位碩士題目類別 工程設(shè)計(jì) 基礎(chǔ)研究 應(yīng)用研究 其它【課題的內(nèi)容與要求】自從單片機(jī)誕生以來(lái),它就步入我們?nèi)祟惖纳?,如洗衣機(jī)、電冰箱、電子玩具收錄機(jī)等。家用電器配上單片機(jī)后,提高了智能化程度,增加了功能,

3、倍受人們喜愛(ài)。本設(shè)計(jì)將介紹一種基于單片機(jī)控制的數(shù)字溫度計(jì),可以進(jìn)行報(bào)警,并把測(cè)量到的數(shù)據(jù)進(jìn)行顯示和控制?!厩把浴?自從單片機(jī)誕生以來(lái),它就步入我們?nèi)祟惖纳?,如洗衣機(jī)、電冰箱、電子玩具收錄機(jī)等。家用電器配上單片機(jī)后,提高了智能化程度,增加了功能,倍受人們喜愛(ài)。單片機(jī)使我們的生活更加方便、舒適、豐富多彩。單片機(jī)也成為計(jì)算機(jī)發(fā)展和應(yīng)用的一個(gè)重要方面。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變了傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須由模擬電路或數(shù)字電路實(shí)現(xiàn)的大部分功能,現(xiàn)在已能用單片機(jī)通過(guò)軟件法實(shí)現(xiàn)了,這種軟件代替硬件的控制技術(shù)也稱為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命?!痉桨傅谋容^與評(píng)價(jià)】 方

4、案一由于本設(shè)計(jì)是測(cè)溫電路,可以使用熱敏電阻之類的器件利用其感溫效應(yīng),進(jìn)行A/D轉(zhuǎn)換后,就可以用單片機(jī)進(jìn)行數(shù)據(jù)的處理,在顯示電路上,就可以將被測(cè)溫度顯示出來(lái),這種設(shè)計(jì)需要用到A/D轉(zhuǎn)換電路,感溫電路比較麻煩。方案二 進(jìn)而考慮到用溫度傳感器,在單片機(jī)電路設(shè)計(jì)中,大多都是使用傳感器,所以這是非常容易想到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,就可以滿足設(shè)計(jì)要求。根據(jù)單片機(jī)課程設(shè)計(jì)的要求與學(xué)習(xí)目的,溫度傳感器DS18B20,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,因此,選擇方案二來(lái)實(shí)現(xiàn)數(shù)字溫度計(jì)的控制?!绢A(yù)期的效果及指標(biāo)】通過(guò)兩種方案的對(duì)比得到最

5、佳方案,運(yùn)用單片機(jī)和溫度傳感器對(duì)某處進(jìn)行溫度檢測(cè)并進(jìn)行無(wú)線控制,在數(shù)碼管上顯示,最終進(jìn)行溫度的設(shè)定與控制。【進(jìn)度安排】2010 年 09 月 21日 - 2010 年 09 月 30日 選題、調(diào)研、收集資料2010 年 10 月 08日 - 2010 年 10 月 15日 論證、開(kāi)題2010 年 10 月 16日 - 2010 年 12 月 15日 設(shè)計(jì)(寫(xiě)作初稿)2010 年 12月 16 日 - 2010 年 01 月 10日 修改、定稿、打印【參考文獻(xiàn)】【1】 黃仁欣.單片機(jī)原理及應(yīng)用技術(shù).清華大學(xué)出版社,2005【2】 朱勇.單片機(jī)原理與應(yīng)用技術(shù).清華大學(xué)出版社,2006【3】 李全利

6、.單片機(jī)原理及應(yīng)用技術(shù).高等教育出版社,2004【4】 李廣弟.單片機(jī)基礎(chǔ).北京航空航天大學(xué)出版社,2001【5】 胡文金.單片機(jī)應(yīng)用技術(shù)實(shí)訓(xùn)教程.重慶大學(xué)出版社,2004【6】 劉文濤.單片機(jī)應(yīng)用開(kāi)發(fā)實(shí)例.清華大學(xué)出版社,2005【7】 王治剛.單片機(jī)應(yīng)用技術(shù)與實(shí)訓(xùn).清華大學(xué)出版社,2004【8】 姜志海.單片機(jī)原理與應(yīng)用.電子工業(yè)出版社,2005【指導(dǎo)教師意見(jiàn)】(有針對(duì)性地說(shuō)明選題意義及工作安排是否恰當(dāng)?shù)龋?shù)字溫度計(jì)應(yīng)用在實(shí)際中很廣泛,因此選題很有意義,并且工作進(jìn)度安排合理,能保障按時(shí)完成畢業(yè)設(shè)計(jì)。同意提交開(kāi)題論證 修改后提交 不同意提交(請(qǐng)說(shuō)明理由)指導(dǎo)教師簽章: 年 月 日 【系部意見(jiàn)

7、】同意指導(dǎo)教師意見(jiàn) 不同意指導(dǎo)教師意見(jiàn)(請(qǐng)說(shuō)明理由) 其它(請(qǐng)說(shuō)明)系(部)主任簽章: 年 月 日第 三 部 分畢業(yè)設(shè)計(jì)正文數(shù)字溫度計(jì)設(shè)計(jì)與仿真張玲0801應(yīng)用電子摘要 本設(shè)計(jì)是基于AT89S52單片機(jī)的溫度測(cè)量報(bào)警系統(tǒng)。通過(guò)對(duì)單片機(jī)技術(shù)基礎(chǔ)及溫度傳感器DS18B20使用方法的學(xué)習(xí),本組利用Protel99SE設(shè)計(jì)電路,并用PROTUES進(jìn)行仿真后,實(shí)現(xiàn)以下功能:實(shí)時(shí)顯示測(cè)得溫度值,通過(guò)設(shè)定溫度上下限,當(dāng)測(cè)得溫度高于上限或者低于下限時(shí),系統(tǒng)報(bào)警提示。測(cè)得溫度值精確到0.1。關(guān)鍵詞:AT89S52 單片機(jī) 溫度測(cè)量 溫度計(jì) 數(shù)字 DS18B20Design and simultion of di

8、gital thermometerZhang LingApplied Electronics 0801Abstract:The design is based on temperature measurement microcontrollerAT89S52 alarm system. SCM technology base and through the temperature sensor DS18B20 use of learning, the team used Protel99SE circuit design and simulation with PROTUES, the wel

9、ding circuit, the following functions: real-time display the measured temperature value, by setting the temperature of upper and lower limits, When the measured temperature is higher than the upper limit or below the lower limit, the system alarm. Measured temperature is accurate to 0.1 . Keywords:A

10、T89S52 Microcontroller Digital Thermometer Temperature Measurement DS18B20目錄第一章 引言1第二章 設(shè)計(jì)方案及硬件電路設(shè)計(jì)22.1 設(shè)計(jì)總方案22.2 方框圖32.3 AT89S52端口的介紹32.3.1 單片機(jī)AT89S5242.3.2 時(shí)鐘電路設(shè)計(jì)52.3.3 復(fù)位電路62.3.4 顯示電路設(shè)計(jì)72.3.5 按鍵設(shè)計(jì)82.3.6 測(cè)溫方法設(shè)計(jì)102.3.7 報(bào)警及提示電路16第三章 軟件設(shè)計(jì)173.1 軟件流程圖173.2 中斷0處理子程序流程圖173.3 中斷1處理子程序流程圖183.4 定義端口183.5 段碼顯

11、示19第四章 軟件仿真324.1 正常情況下324.2溫度高于上限溫度324.3 溫度低于下限溫度334.4 顯示報(bào)警上限溫度334.5 顯示報(bào)警下限溫度344.6 設(shè)置上限溫度354.7 設(shè)置下限溫度354.8 測(cè)量的最低溫度值364.9 測(cè)量的最高溫度值36第五章 硬件系統(tǒng)的調(diào)試385.1 硬件系統(tǒng)的調(diào)試385.2 軟件系統(tǒng)的調(diào)試39小結(jié)40致謝41參考文獻(xiàn)42第一章 引言自從單片機(jī)誕生以來(lái),它就步入我們?nèi)祟惖纳?,如洗衣機(jī)、電冰箱、電子玩具收錄機(jī)等。家用電器配上單片機(jī)后,提高了智能化程度,增加了功能,倍受人們喜愛(ài)。單片機(jī)使我們的生活更加方便、舒適、豐富多彩。單片機(jī)也成為計(jì)算機(jī)發(fā)展和應(yīng)用的

12、一個(gè)重要方面。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變了傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須由模擬電路或數(shù)字電路實(shí)現(xiàn)的大部分功能,現(xiàn)在已能用單片機(jī)通過(guò)軟件法實(shí)現(xiàn)了,這種軟件代替硬件的控制技術(shù)也稱為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命。 隨著人們生活水平的提高,單片機(jī)控制無(wú)疑是人們追求的目標(biāo)之一,它所給人帶來(lái)的方便也是不可否定的,其中數(shù)字溫度計(jì)就是一個(gè)典型的例子,但人們對(duì)它的要求越來(lái)越高,要為現(xiàn)代人工作、科研、生活、提供更好的更方便的設(shè)施就需要從數(shù)單片機(jī)技術(shù)入手,一切向著數(shù)字化控制,智能化控制方向發(fā)展。溫度計(jì)是測(cè)量?jī)x器的總稱,根據(jù)所用測(cè)溫物質(zhì)的不同和測(cè)量范圍不同,有煤油溫度計(jì)、酒精溫度計(jì)

13、、水銀溫度計(jì)、氣體溫度計(jì)、電阻溫度計(jì)、濕差電偶溫度計(jì)、輻射溫度計(jì)和光側(cè)溫度計(jì)等。每一種溫度計(jì)都有它的特點(diǎn)和用途 。數(shù)計(jì)溫度計(jì)采用進(jìn)口高精度、低溫漂、超低功耗集成電路和寬溫型液晶顯示器,內(nèi)置高能電池連續(xù)工作5,無(wú)需敷設(shè)供電電纜,是一種精度高、穩(wěn)定性好、適用性極強(qiáng)的新型溫度顯示儀。數(shù)字溫度儀是傳統(tǒng)現(xiàn)場(chǎng)指針雙金屬溫度計(jì)的理想替代產(chǎn)品、數(shù)字溫度計(jì)廣泛用于各類工礦企業(yè)、大專院校、科研院所。第二章 設(shè)計(jì)方案及硬件電路設(shè)計(jì)2.1 設(shè)計(jì)總方案本設(shè)計(jì)是基于單片機(jī)的一種溫度采集處理系統(tǒng),并可以實(shí)現(xiàn)報(bào)警功能。大致分為以下幾個(gè)模塊:?jiǎn)纹瑱C(jī)最小應(yīng)用系統(tǒng),顯示模塊,溫度測(cè)量模塊,報(bào)警及提示模塊,上下限設(shè)置模塊。通過(guò)這些模

14、塊的連接,并通過(guò)一定語(yǔ)言,我們可以基本實(shí)現(xiàn)我們的設(shè)計(jì)要求。如下是本設(shè)計(jì)的原理圖。圖2-1 數(shù)字溫度計(jì)原理圖2.2 方框圖通過(guò)分析可知,系統(tǒng)由多個(gè)模塊連接而成,系統(tǒng)方框圖如圖2-1所示。圖2-2 系統(tǒng)設(shè)計(jì)方框圖2.3 AT89S52端口的介紹P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫(xiě)入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流,這是由于上拉的緣故。 P3口也可作為AT89C51的一些特殊功能口,如下所示:管腳備選功能 P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 /I

15、NT0(外部中斷0中斷請(qǐng)求輸入端) P3.3 /INT1(外部中斷1) P3.4 T0(定時(shí)器/計(jì)數(shù)器0外部輸入) P3.5 T1(定時(shí)器/計(jì)數(shù)器1外部輸入) P3.6 /WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通信號(hào)) P3.7 /RD(外部數(shù)據(jù)存儲(chǔ)器讀選通信號(hào)) P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。 ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作

16、對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí),ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無(wú)效。 /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。 /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RES

17、ET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。 XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 XTAL2:來(lái)自反向振蕩器的輸出。 XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過(guò)一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無(wú)任何要求,但必須保證脈沖的高低電平要求的寬度 單片機(jī)AT89S52AT89S52是一種低功耗、高性能CMOS、8位微控制器,具有 8K在系統(tǒng)可編程Flash存

18、儲(chǔ)器。使用Atmel公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于 常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng) 可編程Flash,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。 AT89S52具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Flash,256字節(jié)RAM, 32 位I/O 口線,看門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè)16 位 定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口, 片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏 輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式

19、下,CPU 停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié), 單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。8位微控制器8K字節(jié)在系統(tǒng)可編程Flash。52系列單片機(jī)是51系列單片機(jī)的增強(qiáng)型,與AT89C51相比AT89S52定時(shí)器多一個(gè)T2,RAM多128B,ROM多4K,中斷多2個(gè),多一個(gè)看門狗,在掉電、數(shù)據(jù)指針等方面還有一些改進(jìn)。S52的最高外接晶振可以達(dá)到33MHz,C51大概只有24MHz。更重要的是AT89S52支持在線編程,可以方便的給其下載程序,在調(diào)試過(guò)程中方便的多,并且成本不高?,F(xiàn)在市面上,C51差不多淘汰了,兩者

20、價(jià)格也相近。故此次課程設(shè)計(jì)選擇AT89S52單片機(jī)(如圖2-3)。圖2-3 AT89S52 單片機(jī)外形 時(shí)鐘電路設(shè)計(jì)AT89S52內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反相放大器,此放大器的輸入和輸出端分別是引腳XTAL1和XTAL2,XTAL1和XTAL2上接時(shí)鐘源即可構(gòu)成時(shí)鐘電路。本設(shè)計(jì)采用內(nèi)部時(shí)鐘產(chǎn)生方式。在XTAL1和XTAL2兩端跨接晶體或陶瓷諧振器,與內(nèi)部反相器構(gòu)成穩(wěn)定的自激振蕩器。參數(shù)選取:C1、C2對(duì)頻率有微調(diào)作用,這里都選用30pF。晶振頻率為12MHz。電路如圖2-4圖2-4 時(shí)鐘電路 復(fù)位電路復(fù)位使CPU和系統(tǒng)中其他部件都處于一個(gè)確定的初時(shí)狀態(tài),并從這個(gè)狀態(tài)開(kāi)始工作。本設(shè)計(jì)采用

21、上電按鈕電平的硬件復(fù)位電路,如圖2-5所示。參數(shù)選?。号c地相聯(lián)電阻R2阻值為10K歐姆、電容C3選用10uF。圖2-5 復(fù)位電路 顯示電路設(shè)計(jì)根據(jù)設(shè)計(jì)任務(wù),首先選擇顯示為L(zhǎng)ED。一般LED顯示有兩種方式:靜態(tài)顯示和動(dòng)態(tài)掃描顯示。動(dòng)態(tài)掃描顯示工作原理:從一個(gè)口送段代碼,一個(gè)口送位選信號(hào)。段碼雖同時(shí)到達(dá)幾個(gè)LED,但一次僅一個(gè)LED被選中。利用“視覺(jué)暫留”,每送一個(gè)字符并選中相應(yīng)位線,延時(shí)一會(huì)兒,再送/選下一個(gè)循環(huán)掃描即可。靜態(tài)顯示工作原理:每個(gè)LED需要一個(gè)8位并行口,高電平。保持每個(gè)顯器位上的字型碼不變,即加在對(duì)應(yīng)的段選線上段碼電平不變。當(dāng)選用共陰極的LED顯示器時(shí),所有發(fā)光二極管的陰極連在一

22、起接地,當(dāng)某個(gè)發(fā)光二極管的陽(yáng)極加入高電平時(shí),對(duì)應(yīng)的二極管點(diǎn)亮。為了減少硬件電路的復(fù)雜性,同時(shí)充分發(fā)揮單片機(jī)的強(qiáng)大功能,本設(shè)計(jì)采用共陰極LED動(dòng)態(tài)顯示。共陰極的LED顯示器,所有發(fā)光二級(jí)管的陰極連在一起接地,當(dāng)某個(gè)發(fā)光二極管陽(yáng)極加入高電平時(shí),對(duì)應(yīng)的二極管點(diǎn)亮。因此要顯示某字形就應(yīng)使此字形的相應(yīng)段的二極管點(diǎn)亮,實(shí)際上就是送一個(gè)用不同電平組合代表的數(shù)據(jù)字(顯示碼)來(lái)控制LED的顯示,此數(shù)據(jù)稱為字符的段碼或稱為字形碼。共陰極時(shí),字符與它所對(duì)應(yīng)的字形碼關(guān)系如表1-1:表1-1 共陰極數(shù)碼管段碼字符0123456789段碼3FH06H5BH4FH66H6DH7DH07H7FH6FH用上表建立一個(gè)數(shù)組,不同

23、字符(數(shù)字)對(duì)應(yīng)不同的字形碼,送到P0口就可以顯示對(duì)應(yīng)的數(shù)據(jù)。根據(jù)經(jīng)驗(yàn)可知,單片機(jī)的輸出口可以不加任何驅(qū)動(dòng)直接點(diǎn)亮LED,故本著布線簡(jiǎn)單,節(jié)省器件的理念,電路盡可能的簡(jiǎn)單。如圖2-6P0.0P0.7以次接顯示管的字形碼輸入端A、B、C、D、E、F、G、DP。值得注意的是P0口要接上拉電阻,本設(shè)計(jì)加的是470。如圖2-7P2.0P2.3以次接顯示數(shù)碼管的位選輸入端。圖2-6 顯示電路圖2-7上拉電 按鍵設(shè)計(jì)按鍵分為兩類。一類是編碼鍵盤(pán),即鍵盤(pán)上閉合鍵的識(shí)別由專用硬件來(lái)實(shí)現(xiàn);另一類是非編碼鍵盤(pán),即鍵盤(pán)上鍵入及閉合鍵的識(shí)別由軟件來(lái)完成。其中非編碼鍵盤(pán)可分為獨(dú)立連接鍵盤(pán),矩陣連接鍵盤(pán)。鍵盤(pán)的作用是(1

24、)鍵掃描功能。即檢測(cè)是否有鍵按下。(2)鍵識(shí)別功能。確定被按下鍵所在的行列位置。(3)產(chǎn)生相應(yīng)鍵的代碼(鍵值)。(4)消除按鍵彈跳以及能夠識(shí)別多鍵及串鍵(復(fù)合按鍵)。各種鍵盤(pán)的優(yōu)缺點(diǎn):(1)獨(dú)立連接鍵盤(pán): 每鍵相互獨(dú)立,各自與一條I/O線相連,CPU可直接讀取該I/O線的高/低電平狀態(tài)。特點(diǎn):占I/O口線多,判鍵速度快,多用于設(shè)置控制鍵、功能鍵。適用于鍵數(shù)少的場(chǎng)合。(2)矩陣連接鍵盤(pán): 鍵按矩陣排列,各鍵處于矩陣行/列的結(jié)點(diǎn)處,CPU通過(guò)對(duì)連在行(列)的I/O線送已知電平的信號(hào),然后讀取列(行)線的狀態(tài)信息。逐線掃描,得出鍵碼。特點(diǎn):鍵多時(shí)占用I/O口線少,但判鍵速度慢,多用于設(shè)置數(shù)字鍵。適用

25、于鍵數(shù)多的場(chǎng)合。本設(shè)計(jì)功能簡(jiǎn)單,兩個(gè)按鍵加上兩個(gè)中斷就可以基本完成溫度上下限設(shè)置功能,故采取獨(dú)立按鍵。P3口低四位接鍵盤(pán)輸入端。如圖2-8圖2-8按鍵電路由于機(jī)械按鍵會(huì)產(chǎn)生抖動(dòng)脈沖所以必須去機(jī)械抖動(dòng)。去機(jī)械抖動(dòng)的方法是:判別到鍵盤(pán)上有鍵閉合后,經(jīng)一段時(shí)間延時(shí)后再次判別鍵盤(pán)的狀態(tài),若仍有鍵閉合,則認(rèn)為鍵盤(pán)上有一個(gè)鍵處于穩(wěn)定的閉合期,否則認(rèn)為是鍵的抖動(dòng)。各按鍵功能如表2-2表2-2 按鍵功能設(shè)置按鍵名功 能S1查看最高限度,并為設(shè)置它做好準(zhǔn)備S2查看最低限度,并為設(shè)置它做好準(zhǔn)備S3每按一下,所需設(shè)置溫度加一S4每按一下,所需設(shè)置溫度減一 測(cè)溫方法設(shè)計(jì)一般的測(cè)溫元件有熱電偶和熱電阻兩類,輸出的一般都

26、是電壓信號(hào),需要通過(guò)傳感器壓溫關(guān)系式轉(zhuǎn)換成對(duì)應(yīng)的溫度,外部硬件較多,電路復(fù)雜,制作成本高。我們選用一種智能傳感器DS18B20作為檢測(cè)元件,測(cè)溫范圍-55128,分辨率可達(dá)0.0625,采用3線制與單片機(jī)相連,減少了外圍器件,具有簡(jiǎn)單和易用的特點(diǎn)。TO92封裝的DS18B20的引腳排列見(jiàn)圖2-9,其引腳功能描述見(jiàn)表3-3。表3-3 引腳功能序號(hào)名稱引腳功能描述1GND地信號(hào)2DQ數(shù)據(jù)輸入/輸出引腳。開(kāi)漏單總線接口引腳。當(dāng)被用著在寄生電源下,也可以向器件提供電源。3VDD可選擇的VDD引腳。當(dāng)工作于寄生電源時(shí),此引腳必須接地。圖2-9 DS18B20 電路連接圖2-10 DS18B20的引腳圖D

27、S18B20溫度傳感器是美國(guó)DALLAS半導(dǎo)體公司最新推出的一種改進(jìn)型智能溫度傳感器,與傳統(tǒng)的熱敏電阻等測(cè)溫元件相比,它能直接讀出被測(cè)溫度,并且可根據(jù)實(shí)際要求通過(guò)簡(jiǎn)單的編程實(shí)現(xiàn)912位的數(shù)字值讀數(shù)方式。DS18B20的性能特點(diǎn)如下:獨(dú)特的單線接口僅需要一個(gè)端口引腳進(jìn)行通信;多個(gè)DS18B20可以并聯(lián)在惟一的三線上,實(shí)現(xiàn)多點(diǎn)組網(wǎng)功能;無(wú)須外部器件;可通過(guò)數(shù)據(jù)線供電,電壓范圍為3.05.5V;零待機(jī)功耗;溫度以9或12位數(shù)字;用戶可定義報(bào)警設(shè)置;報(bào)警搜索命令識(shí)別并標(biāo)志超過(guò)程序限定溫度(溫度報(bào)警條件)的器件;負(fù)電壓特性,電源極性接反時(shí),溫度計(jì)不會(huì)因發(fā)熱而燒毀,但不能正常工作; DS18B20采用3腳

28、PR35封裝或8腳SOIC封裝,其內(nèi)部結(jié)構(gòu)框圖如圖2-11所示C64 位ROM和單線接口高速緩存存儲(chǔ)器與控制邏輯溫度傳感器高溫觸發(fā)器TH低溫觸發(fā)器TL配置寄存器8位CRC發(fā)生器Vdd I/O圖2-11 DS18B20內(nèi)部結(jié)構(gòu)64位ROM的結(jié)構(gòu)開(kāi)始8位是產(chǎn)品類型的編號(hào),接著是每個(gè)器件的惟一的序號(hào),共有48位,最后8位是前面56位的CRC檢驗(yàn)碼,這也是多個(gè)DS18B20可以采用一線進(jìn)行通信的原因。溫度報(bào)警觸發(fā)器TH和TL,可通過(guò)軟件寫(xiě)入戶報(bào)警上下限。DS18B20溫度傳感器的內(nèi)部存儲(chǔ)器還包括一個(gè)高速暫存RAM和一個(gè)非易失性的可電擦除的EERAM。高速暫存RAM的結(jié)構(gòu)為8字節(jié)的存儲(chǔ)器,結(jié)構(gòu)如圖所示。

29、頭2個(gè)字節(jié)包含測(cè)得的溫度信息,第3和第4字節(jié)和的拷貝,是易失的,每次上電復(fù)位時(shí)被刷新。第5個(gè)字節(jié),為配置寄存器,它的內(nèi)容用于確定溫度值的數(shù)字轉(zhuǎn)換分辨率。DS18B20工作時(shí)寄存器中的分辨率轉(zhuǎn)換為相應(yīng)精度的溫度數(shù)值。該字節(jié)各位的定義如圖2-12所示。低5位一直為1,TM是工作模式位,用于設(shè)置DS18B20在工作模式還是在測(cè)試模式,DS18B20出廠時(shí)該位被設(shè)置為0,用戶要去改動(dòng),R1和R0決定溫度轉(zhuǎn)換的精度位數(shù),來(lái)設(shè)置分辨率。溫度 LSB溫度 MSBTH用戶字節(jié)1TL用戶字節(jié)2配置寄存器保留保留保留CRC圖2-12 DS18B20字節(jié)定義由表4-4可見(jiàn),DS18B20溫度轉(zhuǎn)換的時(shí)間比較長(zhǎng),而且分

30、辨率越高,所需要的溫度數(shù)據(jù)轉(zhuǎn)換時(shí)間越長(zhǎng)。因此,在實(shí)際應(yīng)用中要將分辨率和轉(zhuǎn)換時(shí)間權(quán)衡考慮。高速暫存RAM的第6、7、8字節(jié)保留未用,表現(xiàn)為全邏輯1。第9字節(jié)讀出前面所有8字節(jié)的CRC碼,可用來(lái)檢驗(yàn)數(shù)據(jù),從而保證通信數(shù)據(jù)的正確性。當(dāng)DS18B20接收到溫度轉(zhuǎn)換命令后,開(kāi)始啟動(dòng)轉(zhuǎn)換。轉(zhuǎn)換完成后的溫度值就以16位帶符號(hào)擴(kuò)展的二進(jìn)制補(bǔ)碼形式存儲(chǔ)在高速暫存存儲(chǔ)器的第1、2字節(jié)。單片機(jī)可以通過(guò)單線接口讀出該數(shù)據(jù),讀數(shù)據(jù)時(shí)低位在先,高位在后,數(shù)據(jù)格式以0.0625LSB形式表示。當(dāng)符號(hào)位S0時(shí),表示測(cè)得的溫度值為正值,可以直接將二進(jìn)制位轉(zhuǎn)換為十進(jìn)制;當(dāng)符號(hào)位S1時(shí),表示測(cè)得的溫度值為負(fù)值,要先將補(bǔ)碼變成原碼,

31、再計(jì)算十進(jìn)制數(shù)值。表5-5是一部分溫度值對(duì)應(yīng)的二進(jìn)制溫度數(shù)據(jù)。表4-4 DS18B20溫度轉(zhuǎn)換時(shí)間表R1R0分辨率/位溫度最大轉(zhuǎn)向時(shí)間/ms00993.750110187.510113751112750DS18B20完成溫度轉(zhuǎn)換后,就把測(cè)得的溫度值與RAM中的TH、TL字節(jié)內(nèi)容作比較。若T>TH或T<TL,則將該器件內(nèi)的報(bào)警標(biāo)志位置位,并對(duì)主機(jī)發(fā)出的報(bào)警搜索命令作出響應(yīng)。因此,可用多只DS18B20同時(shí)測(cè)量溫度并進(jìn)行報(bào)警搜索。在64位ROM的最高有效字節(jié)中存儲(chǔ)有循環(huán)冗余檢驗(yàn)碼(CRC)。主機(jī)ROM的前56位來(lái)計(jì)算CRC值,并和存入DS18B20的CRC值作比較,以判斷主機(jī)收到的RO

32、M數(shù)據(jù)是否正確。DS18B20的測(cè)溫原理是這這樣的,器件中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小,用于產(chǎn)生固定頻率的脈沖信號(hào)送給減法計(jì)數(shù)器1;高溫度系數(shù)晶振隨溫度變化其振蕩頻率明顯改變,所產(chǎn)生的信號(hào)作為減法計(jì)數(shù)器2的脈沖輸入。器件中還有一個(gè)計(jì)數(shù)門,當(dāng)計(jì)數(shù)門打開(kāi)時(shí),DS18B20就對(duì)低溫度系數(shù)振蕩器產(chǎn)生的時(shí)鐘脈沖進(jìn)行計(jì)數(shù)進(jìn)而完成溫度測(cè)量。計(jì)數(shù)門的開(kāi)啟時(shí)間由高溫度系數(shù)振蕩器來(lái)決定,每次測(cè)量前,首先將55所對(duì)應(yīng)的一個(gè)基數(shù)分別置入減法計(jì)數(shù)器1、溫度寄存器中,計(jì)數(shù)器1和溫度寄存器被預(yù)置在55所對(duì)應(yīng)的一個(gè)基數(shù)值。減法計(jì)數(shù)器1對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行減法計(jì)數(shù),當(dāng)減法計(jì)數(shù)器1的預(yù)置值減到0時(shí),溫度

33、寄存器的值將加1,減法計(jì)數(shù)器1的預(yù)置將重新被裝入,減法計(jì)數(shù)器1重新開(kāi)始對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行計(jì)數(shù),如此循環(huán)直到減法計(jì)數(shù)器計(jì)數(shù)到0時(shí),停止溫度寄存器的累加,此時(shí)溫度寄存器中的數(shù)值就是所測(cè)溫度值。其輸出用于修正減法計(jì)數(shù)器的預(yù)置值,只要計(jì)數(shù)器門仍未關(guān)閉就重復(fù)上述過(guò)程,直到溫度寄存器值大致被測(cè)溫度值。表5-5一部分溫度對(duì)應(yīng)值表溫度/二進(jìn)制表示十六進(jìn)制表示+1250000 0111 1101 000007D0H+850000 0101 0101 00000550H+25.06250000 0001 1001 00000191H+10.1250000 0000 1010 000100A2H+0

34、.50000 0000 0000 00100008H00000 0000 0000 10000000H-0.51111 1111 1111 0000FFF8H-10.1251111 1111 0101 1110FF5EH-25.06251111 1110 0110 1111FE6FH-551111 1100 1001 0000FC90H另外,由于DS18B20單線通信功能是分時(shí)完成的,它有嚴(yán)格的時(shí)隙概念,因此讀寫(xiě)時(shí)序很重要。系統(tǒng)對(duì)DS18B20的各種操作按協(xié)議進(jìn)行。操作協(xié)議為:初使化DS18B20(發(fā)復(fù)位脈沖)發(fā)ROM功能命令發(fā)存儲(chǔ)器操作命令處理數(shù)據(jù)。5、DS18B20溫度傳感器與單片機(jī)的接口

35、電路DS18B20可以采用兩種方式供電,一種是采用電源供電方式,此時(shí)DS18B20的1腳接地,2腳作為信號(hào)線,3腳接電源。另一種是寄生電源供電方式,如圖4 所示單片機(jī)端口接單線總線,為保證在有效的DS18B20時(shí)鐘周期內(nèi)提供足夠的電流,可用一個(gè)MOSFET管來(lái)完成對(duì)總線的上拉。當(dāng)DS18B20處于寫(xiě)存儲(chǔ)器操作和溫度A/D轉(zhuǎn)換操作時(shí),總線上必須有強(qiáng)的上拉,上拉開(kāi)啟時(shí)間最大為10us。采用寄生電源供電方式時(shí)VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是三態(tài)的。圖2-13 DS18B20與單片機(jī)的接口電路 報(bào)警及提示電路報(bào)警電路選用一個(gè)直流的揚(yáng)聲器,額定電壓為5V左右,陰極接到P3.5,通過(guò)

36、軟件控制其工作與斷開(kāi),電路如圖2-14。相應(yīng)的,設(shè)計(jì)報(bào)警提示電路,提示相關(guān)報(bào)警信號(hào)。電路如圖2-15圖2-14報(bào)警電路圖2-15 提示電路第三章 軟件設(shè)計(jì)軟件設(shè)計(jì)是本設(shè)計(jì)的一個(gè)重要部分,根據(jù)硬件將軟件設(shè)計(jì)分為以下幾個(gè)部分:溫度測(cè)量、鍵盤(pán)處理程序設(shè)計(jì)、顯示處理程序設(shè)計(jì)、延時(shí)程序、主程序、報(bào)警及指示控制程序。由于DS18B20采用的是1Wire總線協(xié)議方式,即在一根數(shù)據(jù)線實(shí)現(xiàn)數(shù)據(jù)的雙向傳輸,而對(duì)AT89S51單片機(jī)來(lái)說(shuō),硬件上并不支持單總線協(xié)議,因此,我們必須采用軟件的方法來(lái)模擬單總線的協(xié)議時(shí)序來(lái)完成對(duì)DS18B20芯片的訪問(wèn)。由于DS18B20是在一根I/O線上讀寫(xiě)數(shù)據(jù),因此,對(duì)讀寫(xiě)的數(shù)據(jù)位有著

37、嚴(yán)格的時(shí)序要求。DS18B20有嚴(yán)格的通信協(xié)議來(lái)保證各位數(shù)據(jù)傳輸?shù)恼_性和完整性。該協(xié)議定義了幾種信號(hào)的時(shí)序:初始化時(shí)序、讀時(shí)序、寫(xiě)時(shí)序。所有時(shí)序都是將主機(jī)作為主設(shè)備,單總線器件作為從設(shè)備。而每一次命令和數(shù)據(jù)的傳輸都是從主機(jī)主動(dòng)啟動(dòng)寫(xiě)時(shí)序開(kāi)始,如果要求單總線器件回送數(shù)據(jù),在進(jìn)行寫(xiě)命令后,主機(jī)需啟動(dòng)讀時(shí)序完成數(shù)據(jù)接收。數(shù)據(jù)和命令的傳輸都是低位在先。3.1 軟件流程圖根據(jù)軟件設(shè)計(jì)的要求,我們做出軟件流程圖(如圖3-1),以方便我們寫(xiě)程序。3.2 中斷0處理子程序流程圖開(kāi) 始開(kāi)中斷系統(tǒng)初始化寫(xiě)命令鍵按下處理按鍵顯 示結(jié) 束圖3-1 軟件流程圖3.3 中斷1處理子程序流程圖3.4 定義端口為了在書(shū)寫(xiě)程

38、序時(shí),更明了的再現(xiàn)端口的意義,我們直接用一些可以看出來(lái)什么意思的名字定義端口,這樣就可以增加程序的可讀性。例如:#define uchar unsigned char#define uint unsigned intsbit DQ=P37; /溫度輸入口sbit DIN=P07; /LED小數(shù)點(diǎn)控制sbit alarm=P35;/報(bào)警口sbit high_set=P30;/高溫度限查看設(shè)置sbit low_set=P31;/低溫度限查看設(shè)置sbit low_led=P14;/過(guò)低溫度報(bào)警燈sbit high_led=P12;/過(guò)高溫度報(bào)警燈sbit alarm_led=P10; /溫度過(guò)限報(bào)警

39、燈3.5 段碼顯示/顯示部分通過(guò)查表得到其段碼,送P0口顯示相應(yīng)的數(shù)據(jù)vision() char k; for(k=0;k<4;k+) /4位LED掃描控制 discan=scan_conk; /位選 Disdata=tableDis_playk; /數(shù)據(jù)顯示 if (k=1)DIN=1; /小數(shù)點(diǎn)顯示 delay(100);源程序#include <reg52.h>#include <intrins.h> #define Disdata P0 /段碼輸出口#define discan P2 /掃描口#define uchar unsigned char#defi

40、ne uint unsigned intsbit DQ=P37; /溫度輸入口sbit DIN=P07; /LED小數(shù)點(diǎn)控制sbit alarm=P35;sbit high_set=P30;sbit low_set=P31;sbit low_led=P14;sbit high_led=P12;sbit alarm_led=P10;uint h;uint temp;char r;char high=30,low=20;uchar sign;uchar q=0;uchar tt=0;uchar code ditab16=0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04

41、,0x05,0x06,0x06,0x07,0x08,0x08,0x09,0x09;/小數(shù)部分對(duì)應(yīng)十進(jìn)制 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "A" "B" "C" "D" "E" "F"Uchar code table12=0x3f,0

42、x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x67,0x00,0x40;/共陰LED段碼表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "不亮" "-" uchar code scan_con4=0xfe,0xfd,0xfb,0xf7; /列掃描控制字uchar data temp_da

43、ta2=0x00,0x00; /讀出溫度暫放uchar data Dis_play5=0x00,0x00,0x00,0x00,0x00; /顯示數(shù)據(jù),共4個(gè)數(shù)據(jù)和一個(gè)運(yùn)算暫用/延時(shí)函數(shù) void delay(uint t) for (;t>0;t-);/顯示掃描函數(shù) vision() char k; for(k=0;k<4;k+) /4位LED掃描控制 discan=scan_conk; /位選 Disdata=tableDis_playk; /數(shù)據(jù)顯示 if (k=1)DIN=1; /小數(shù)點(diǎn)顯示 delay(100);/DS18B20復(fù)位函數(shù) Init_DS18B20(void)

44、char presence=1;while(presence) while(presence) DQ=1;_nop_();_nop_(); /從高拉倒低DQ=0; delay(50); /550 usDQ=1; delay(6); /66 uspresence=DQ; /presence=0 復(fù)位成功,繼續(xù)下一步 delay(45); /延時(shí)500 us presence=DQ; DQ=1; /拉高電平/DS18B20寫(xiě)命令函數(shù) void WriteOneChar(uchar val) uchar i; for(i=8;i>0;i-) DQ=1;_nop_();_nop_(); /從高拉

45、倒低 DQ=0;_nop_();_nop_();_nop_();_nop_(); /5 us DQ=val&0x01; /最低位移出 delay(6); /66 us val=val/2; /右移1位 DQ=1; delay(1);/DS18B20讀字節(jié)函數(shù) uchar ReadOneChar(void)uchar i;uchar value=0;for(i=8;i>0;i-) DQ=1;_nop_();_nop_(); value>>=1; DQ=0;_nop_();_nop_();_nop_();_nop_(); /4 us DQ=1;_nop_();_nop_(

46、);_nop_();_nop_(); /4 us if(DQ)value|=0x80; delay(6); /66 usDQ=1;return(value);/讀出溫度函數(shù) read_temp()Init_DS18B20(); /總線復(fù)位 delay(200); WriteOneChar(0xcc); /發(fā)命令 WriteOneChar(0x44); /發(fā)轉(zhuǎn)換命令 Init_DS18B20(); delay(1); WriteOneChar(0xcc); /發(fā)命令 WriteOneChar(0xbe); temp_data0=ReadOneChar(); /讀溫度值的低字節(jié) temp_data

47、1=ReadOneChar(); /讀溫度值的高字節(jié) temp=temp_data1; temp<<=8; temp=temp|temp_data0; / 兩字節(jié)合成一個(gè)整型變量。return temp; /返回溫度值/溫度處理函數(shù) work_temp(uint tem)uchar flag=0;if(tem>6348) / 溫度值正負(fù)判斷 tem=65536-tem;flag=1; / 負(fù)溫度求補(bǔ)碼,標(biāo)志位置1 Dis_play4=tem&0x0f; / 取小數(shù)部分的值 Dis_play0=ditabDis_play4; / 存入小數(shù)部分顯示值 Dis_play4=tem>>4; / 取中間八位,即整數(shù)部分的值Dis_play3=Dis_play4/100; / 取百位數(shù)據(jù)暫存 Dis_play1=Dis_play4%100; / 取后兩位數(shù)據(jù)暫存 Dis_p

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論