簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作_第1頁(yè)
簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作_第2頁(yè)
簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作_第3頁(yè)
簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作_第4頁(yè)
簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、簡(jiǎn)單數(shù)字頻率計(jì)的設(shè)計(jì)與制作1 結(jié)構(gòu)設(shè)計(jì)與方案選擇1.1 設(shè)計(jì)要求(1) 要求用直接測(cè)量法測(cè)量輸入信號(hào)的頻率(2) 輸入信號(hào)的頻率為19999HZ1.2 設(shè)計(jì)原理及方案數(shù)字頻率計(jì)是直接用十進(jìn)制的數(shù)字來顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。它不僅可以測(cè)量正弦波、方波、三角波和尖脈沖信號(hào)的頻率 ,而且還可以測(cè)量它們的周期。所謂頻率就是在單位時(shí)間(1s)內(nèi)周期信號(hào)的變化次數(shù)。若在一定時(shí)間間隔T內(nèi)測(cè)得周期信號(hào)的重復(fù)變化次數(shù)為N,則其頻率為f=N/T(1-1)據(jù)此,設(shè)計(jì)方案框圖如圖1所示:圖1 數(shù)字頻率計(jì)組成框圖圖中脈沖形成的電路的作用是將被測(cè)信號(hào)變成脈沖信號(hào),其重復(fù)頻率等于被測(cè)信號(hào)的頻率f。時(shí)間基準(zhǔn)信號(hào)發(fā)生器

2、提供標(biāo)準(zhǔn)的時(shí)間脈沖信號(hào),若其周期為1s,則們控電路的輸出信號(hào)持續(xù)時(shí)間亦準(zhǔn)確的等于1s。閘門電路由標(biāo)準(zhǔn)秒信號(hào)進(jìn)行控制當(dāng)秒信號(hào)來到時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門送到計(jì)數(shù)器譯碼顯示電路。秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,技計(jì)數(shù)器得的脈沖數(shù)N是在1秒時(shí)間內(nèi)的累計(jì)數(shù),所以被測(cè)頻率f= N Hz。 被測(cè)信號(hào)f經(jīng)整形電路變成計(jì)數(shù)器所要求的脈沖信號(hào),其頻率與被測(cè)信號(hào)的頻率相同。時(shí)基電路提供標(biāo)準(zhǔn)時(shí)間基準(zhǔn)信號(hào),其高電平持續(xù)時(shí)間t1=1 秒,當(dāng)l秒信號(hào)來到時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門,計(jì)數(shù)器開始計(jì)數(shù),直到l秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,停止計(jì)數(shù)。若在閘門時(shí)間1s內(nèi)計(jì)數(shù)器計(jì)得的脈沖個(gè)數(shù)為N,則被測(cè)信號(hào)頻率f=NHz,如圖2(a

3、)所示,即為數(shù)字頻率計(jì)的組成框圖。圖2(a) 數(shù)字頻率計(jì)的組成框圖圖2(b) 數(shù)字頻率計(jì)的工作時(shí)序波形 邏輯控制單元的作用有兩個(gè):其一,產(chǎn)生清零脈沖,使計(jì)數(shù)器每次從零開始計(jì)數(shù);其二,產(chǎn)生所存信號(hào),是顯示器上的數(shù)字穩(wěn)定不變。這些信號(hào)之間的時(shí)序關(guān)系如圖2(b)所示數(shù)字頻率計(jì)由脈沖形成電路、時(shí)基電路、閘門電路、計(jì)數(shù)鎖存和清零電路、譯碼顯示電路組成。1.3 數(shù)字頻率計(jì)的主要技術(shù)指標(biāo)1.3.1 頻率準(zhǔn)確度:一般用相對(duì)誤差來表示,本文設(shè)計(jì)的頻率準(zhǔn)確度并沒有要求。(1-2)即:1.3.2 頻率測(cè)量范圍:在輸入電壓符合規(guī)定要求值時(shí),能夠正常進(jìn)行測(cè)量的頻率區(qū)間稱為頻率測(cè)量范圍。頻率測(cè)量范圍主要由放大整形電路的頻

4、率響應(yīng)決定。本設(shè)計(jì)的頻率測(cè)量范圍為:1Hz9999Hz。1.3.3 數(shù)字顯示位數(shù):頻率計(jì)的數(shù)字顯示位數(shù)決定了頻率計(jì)的分辨率。位數(shù)越多,分辨率越高。本設(shè)計(jì)的顯示位數(shù)為:四位LED數(shù)碼管顯示。1.3.4 測(cè)量時(shí)間:頻率計(jì)完成一次測(cè)量所需要的時(shí)間,包括準(zhǔn)備、計(jì)數(shù)、鎖存和復(fù)位時(shí)間。本設(shè)計(jì)的一次測(cè)量時(shí)間大約為:1.25S。2 單元電路的設(shè)計(jì)2.1 脈沖信號(hào)的形成電路脈沖信號(hào)形成電路的作用是將待測(cè)信號(hào)fx(如正弦波、三角波或者其他呈周期性變化的波形)整形為計(jì)數(shù)器所要求的脈沖信號(hào),其周期不變。將其他波形變換成脈沖波的電路有多種,如施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器、比較器等。其中施密特觸發(fā)器的應(yīng)用較多。我在這里設(shè)計(jì)

5、的電路形式是采用555定時(shí)器構(gòu)成的施密特觸發(fā)器,電路原理如圖3(a) 所示。 圖3(a) 脈沖信號(hào)形成電路圖中R7與R8的作用是將被測(cè)信號(hào)進(jìn)行電平移動(dòng),因?yàn)?55構(gòu)成的施密特觸發(fā)器的上觸發(fā)電平UT+ =2/3Ucc,下觸發(fā)電平UT=1/3Ucc,如圖3(b)所示。圖3(b) 脈沖信號(hào)形成輸入信號(hào)的直流電平Uxo從C3左側(cè)輸入應(yīng)該滿足下列關(guān)系1/3Ucc<Uxo<2/3Ucc。輸入信號(hào)的幅度Uxm與直流電平Uxo和回差UT有關(guān),一般說來,UT越小,對(duì)輸入信號(hào)的幅值Uxm要求越小,如果需要減小回差,可以在555的控制端CO接入一個(gè)正電壓。如果取+Ucc=+5V,回差UT=1.67V。對(duì)

6、于圖4(b)所示的波形圖,若取Uxo=1/3Ucc+1/2UT=2.5V,則輸入信號(hào)幅度為 Uxm>1/2UT=0.83V.為使Uxo=2.5V,對(duì)于圖4(a)所示電路,則取R7=R8=10K。2.2 時(shí)基電路和閘門電路如圖2(a)所示,閘門電路是控制計(jì)數(shù)器計(jì)數(shù)的標(biāo)準(zhǔn)時(shí)間信號(hào),決定了被測(cè)信號(hào)的脈沖通過閘門進(jìn)入計(jì)數(shù)器進(jìn)行計(jì)數(shù)的計(jì)數(shù)個(gè)數(shù),其精度很大程度上決定了頻率計(jì)的頻率測(cè)測(cè)量精度。當(dāng)要求頻率測(cè)量精度高時(shí),應(yīng)使用晶體振蕩器通過分頻獲得。在此簡(jiǎn)單數(shù)字頻率計(jì)的中,時(shí)基信號(hào)采用由555定時(shí)器構(gòu)成的多諧振蕩器電路,當(dāng)標(biāo)準(zhǔn)時(shí)間信號(hào)(1s高電平)來到時(shí),閘門開通,被測(cè)信號(hào)的脈沖通過閘門進(jìn)入計(jì)數(shù)器計(jì)數(shù);標(biāo)

7、準(zhǔn)時(shí)間脈沖結(jié)束時(shí)(為低電平),閘門關(guān)閉,計(jì)數(shù)器無(wú)時(shí)鐘脈沖輸入。例如,時(shí)基信號(hào)的作用時(shí)間為1s,閘門電路將打開1s,若在這段時(shí)間內(nèi)通過閘門電路的脈沖數(shù)目為1000個(gè),則被測(cè)信號(hào)的頻率就是1000Hz。設(shè)標(biāo)準(zhǔn)時(shí)基為1s的脈沖是由555定時(shí)器構(gòu)成的多諧振蕩器電路產(chǎn)生的,由555定時(shí)器構(gòu)成的多諧振蕩氣的周期計(jì)算公式為:周期計(jì)算公式: t=t1+t2=0.693(R1+2R2)C;占空比為: D= t2/ (t1+t2)= R2/( R1+2R2)<50%,t1為正方波的寬度,t2為負(fù)方波的寬度;若取振蕩器的頻率f0=1/ (t1+t2)=0.8HZ,則振蕩器的輸出波形如圖4(b)所示,其中t1=

8、1s,t2=0.25s。圖4 (a) 標(biāo)準(zhǔn)脈沖產(chǎn)生的閘門電路圖4(b) 閘門電路各波形特點(diǎn)2.3 計(jì)數(shù)電路計(jì)數(shù)器用4個(gè)74LS190同步十進(jìn)制可逆計(jì)數(shù)器構(gòu)成。作用是對(duì)放大整形電路輸出的頻率信號(hào)進(jìn)行計(jì)數(shù),并將輸出的數(shù)值輸入到鎖存器。如圖5所示。 圖5 計(jì)數(shù)電路圖 U14的INA接外來信號(hào),U11、12、13、14的INB均接相應(yīng)的QA。U14的QD接U13的INA,U13的QD接U12的INA,U12的QD接U11的INA 。四個(gè)芯片的R01、R02均接地,R91、R92連在一起接時(shí)基信號(hào)的反向信號(hào)。當(dāng)R91、R92同為高電平時(shí),四位十進(jìn)制計(jì)數(shù)器同時(shí)清零。當(dāng)R91。R92同為低電平時(shí),四位十進(jìn)制

9、計(jì)數(shù)器正常計(jì)數(shù)。2.4 鎖存電路、譯碼電路和顯示電路 圖6 鎖存、譯碼、顯示電路鎖存器可選用2個(gè)8D鎖存器74LS273構(gòu)成。鎖存器的作用是將計(jì)數(shù)器在1s 結(jié)束時(shí)所計(jì)得的數(shù)進(jìn)行鎖存,使顯示器上能穩(wěn)定地顯示此時(shí)計(jì)數(shù)器的值。因?yàn)橛?jì)數(shù)器在一秒內(nèi)要計(jì)算成千個(gè)輸入脈沖,若不加鎖存器,顯示器上的數(shù)字將隨機(jī)數(shù)器的輸出變化而變化,不便于讀數(shù)。當(dāng)時(shí)鐘脈沖CP的上升沿到來時(shí),鎖存器的輸出等于輸入,即Q=D。從而將4個(gè)十進(jìn)制計(jì)數(shù)器即個(gè)位、十位、百位、千位的輸出值送到鎖存器的輸出端。正脈沖結(jié)束后,無(wú)論輸入端D為何值,輸出端Q的狀態(tài)仍然保持原來的狀態(tài)。譯碼器采用4個(gè)74LS48共陰極顯示譯碼器構(gòu)成。譯碼/驅(qū)動(dòng)器74LS

10、48的作用是將輸入的高低電平信號(hào)轉(zhuǎn)化為數(shù)碼管需要的高低電平信號(hào),并控制數(shù)碼燈的亮滅。具體連接方式見圖6。3 整體電路的設(shè)計(jì)3.1 總電路分析如圖7所示,被測(cè)信號(hào)(三角波、正弦波、方波)輸入由555定時(shí)器組成的施密特觸發(fā)器整形成與輸入信號(hào)同頻率的矩形脈沖。將該脈沖輸入由74LS90組成的十進(jìn)制計(jì)數(shù)器用作時(shí)鐘信號(hào)。另一片555定時(shí)器接成的多諧振蕩器輸出的高電平時(shí)間為1S,低電平時(shí)間為0.25S的矩形脈沖信號(hào)通過閘門,再通過74LS04反向后,輸給74LS273,做鎖存的時(shí)鐘信號(hào),同時(shí)輸給計(jì)數(shù)器74LS90的R01、R02控制計(jì)數(shù)器的計(jì)數(shù)和清零。當(dāng)取反后的時(shí)基信號(hào)來個(gè)上升沿時(shí),鎖存器鎖存0000。高

11、電平階段計(jì)數(shù)器清零,鎖存器一直顯示0000不變。低電平時(shí),計(jì)數(shù)器開始計(jì)數(shù),鎖存器清零。當(dāng)再來個(gè)上升沿時(shí)鎖存器鎖存剛才1S內(nèi)計(jì)數(shù)器所計(jì)的數(shù)據(jù),高電平時(shí),計(jì)數(shù)器清零,鎖存器數(shù)據(jù)保持不變。低電平時(shí),鎖存器清零,計(jì)數(shù)器計(jì)數(shù)。如此循環(huán)工作。若在閘門時(shí)間1s內(nèi)計(jì)數(shù)器計(jì)得的脈沖個(gè)數(shù)為N,則被測(cè)信號(hào)頻率為f=NHz。本電路可實(shí)現(xiàn)直接測(cè)量輸入信號(hào)的頻率,輸入的頻率范圍19999HZ。3.2 總電路圖在上述子模塊電路的基礎(chǔ)上,畫出整個(gè)電路的總電路圖。如圖7所示:圖7 整體電路圖4 電路的調(diào)測(cè)與分析4.1 計(jì)數(shù)電路的調(diào)測(cè)圖8 計(jì)數(shù)電路調(diào)測(cè)如圖8所示,連接好電路。開始仿真,計(jì)數(shù)器開始計(jì)數(shù),計(jì)數(shù)器可從1計(jì)數(shù)到9999。

12、4.2 計(jì)數(shù)、顯示電路的調(diào)測(cè) 如圖9所示,連接好電路后。開始仿真,計(jì)數(shù)器開始計(jì)數(shù),并將相應(yīng)的計(jì)的數(shù)通過74LS48芯片譯碼給七段譯碼顯示器進(jìn)行顯示所計(jì)的數(shù)。4.3 調(diào)試電路的注意事項(xiàng)在通電調(diào)試前,一定要認(rèn)真檢查電路是否有錯(cuò)接、漏接等。因此要用萬(wàn)用表歐姆檔,測(cè)量芯片各引腳和各個(gè)元器件之間的連接是否正常,測(cè)量各個(gè)元器件之間的連接是否正常。用電壓表把各個(gè)芯片所用的電壓調(diào)整到規(guī)定的數(shù)值。檢查各個(gè)芯片的接地是否連接牢固。檢查無(wú)誤,方可通電調(diào)試。4.4 測(cè)試數(shù)據(jù)與理論的對(duì)比如圖7連接好電路,輸入不同頻率的被測(cè)信號(hào),測(cè)其頻率。測(cè)試結(jié)果如表1所示。 由表1可知,所測(cè)頻率的誤差在允許的范圍。即在誤差允許的范圍內(nèi)

13、,所進(jìn)行設(shè)計(jì)的電路是符合任務(wù)書要求的。這次課程設(shè)計(jì)是正確可行的。圖9 計(jì)數(shù)、顯示電路調(diào)測(cè)表1 結(jié)果fx的值(Hz)數(shù)碼管顯示(Hz) 誤差(fx)10910.00%50484.00%100937.00%5005183.60%10009752.50 %200021105.50%600062143.57 %700069021.40%800081892.36%900092202.44%950096171.23%4.5 電路的改進(jìn)如圖10所示圖10 電路改進(jìn)圖芯片CD40110-十進(jìn)制加減計(jì)數(shù)器/鎖存/七段簡(jiǎn)要說明: 40110為十進(jìn)制可逆計(jì)數(shù)器/鎖存器/譯碼器/驅(qū)動(dòng)器,具有加減計(jì)數(shù),計(jì)數(shù)器狀態(tài)鎖存,

14、七段顯示譯碼輸出等功能。 40110有 2個(gè)計(jì)數(shù)時(shí)鐘輸入端CPU和 CPD分別用作加計(jì)數(shù)時(shí)鐘輸入和減計(jì)數(shù)時(shí)鐘輸入。由于電路內(nèi)部有一個(gè)時(shí)鐘信號(hào)預(yù)處理邏輯,因此當(dāng)一個(gè)時(shí)鐘輸入端計(jì)數(shù)工作時(shí),另一個(gè)時(shí)鐘輸入端可以是任意狀態(tài)。 40110的進(jìn)位輸出 CO和借位輸出 BO一般為高電平,當(dāng)計(jì)數(shù)器從09時(shí),BO輸出負(fù)脈沖;從90時(shí) CO輸出負(fù)脈沖。在多片級(jí)聯(lián)時(shí),只需要將CO和 BO分別接至下級(jí) 40110的 CPU和 CPD端,就可組成多位計(jì)數(shù)器。 引出端符號(hào): BO 皆為輸出端 圖11 CD40110芯片CO 進(jìn)位輸出端 CPD 減計(jì)數(shù)器時(shí)鐘輸入端CPU 加計(jì)數(shù)器時(shí)鐘輸入端 CR 清除端 /CT 計(jì)數(shù)允許端

15、 /LE 鎖存器預(yù)置端 VDD 正電源 Vss 地 Ya6g 鎖存譯碼輸出端 推薦工作條件: CD40110可功能強(qiáng)大,可簡(jiǎn)化電路。做實(shí)物時(shí),運(yùn)用的是此方案。5 所用芯片及其它器件說明本次設(shè)計(jì)選用的器件有74LS90,74LS48,74LS273,555定時(shí)電路,數(shù)碼管,共陰極七段LED數(shù)碼管74LS48等,下面為大家介紹主要器件在這次頻率計(jì)數(shù)器的應(yīng)用及原理。5.1 555定時(shí)器555集成電路開始是作定時(shí)器應(yīng)用的,所以叫做555定時(shí)器或555時(shí)基電路。但后來經(jīng)過開發(fā),它除了作定時(shí)延時(shí)控制外,還可用于調(diào)光、調(diào)溫、調(diào)壓、調(diào)速等多種控制及計(jì)量檢測(cè)。此外,還可以組成脈沖振蕩、單穩(wěn)、雙穩(wěn)和脈沖調(diào)制電路,

16、用于交流信號(hào)源、電源變換、頻率變換、脈沖調(diào)制等。由于它工作可靠、使用方便、價(jià)格低廉,目前被廣泛用于各種電子產(chǎn)品中,555集成電路內(nèi)部有幾十個(gè)元器件,有分壓器、比較器、基本R-S觸發(fā)器、放電管以及緩沖器等,電路比較復(fù)雜,是模擬電路和數(shù)字電路的混合體,如圖12所示。圖12 555集成電路內(nèi)部結(jié)構(gòu) 555集成電路是8腳封裝,雙列直插型,如圖13 (A)所示,按輸入輸出的排列可看成如圖13 (B)所示。其中6腳稱閾值端(TH),是上比較器的輸入;2腳稱觸發(fā)端(TR),是下比較器的輸入;3腳是輸出端(Vo),它有O和1兩種狀態(tài),由輸入端所加的電平?jīng)Q定;7腳是放電端(DIS),它是內(nèi)部放電管的輸出,有懸空

17、和接地兩種狀態(tài),也是由輸入端的狀態(tài)決定;4腳是復(fù)位端(MR),加上低電平時(shí)可使輸出為低電平;5腳是控制電壓端(Vc),可用它改變上下觸發(fā)電平值;8腳是電源端,1腳是地端。 圖13 555定時(shí)器5.1.1 555構(gòu)成的施密特觸發(fā)器圖14 555定時(shí)器構(gòu)成的施密特觸發(fā)器4UI 再增大時(shí),對(duì)電路的輸出狀態(tài)沒有影響。(a) 上升過程 (b)下降過程5.1.2 555構(gòu)成的多諧振蕩器 由555定時(shí)器構(gòu)成的多諧振蕩器如圖15所示,其工作波形見圖4(b)所示。接通電源后,電源VDD通過R1和R2對(duì)電容C充電,當(dāng)Uc2C,由電容C放電時(shí)間決定;TH=0.7(R1+R2)C,由電容C充電時(shí)間決定,脈沖周期TTH

18、+TL。圖15 555構(gòu)成多諧振蕩器 上面僅討論了由555定時(shí)器在本次數(shù)字頻率計(jì)課程設(shè)計(jì)中的應(yīng)用及原理。實(shí)際上,由于555定時(shí)器靈敏度高,功能靈活,因而在電子電路中獲得廣泛應(yīng)用。5.2 74LS273鎖存器74LS273作為一種帶清除端的觸發(fā)器,在電路中的主要作用是將計(jì)數(shù)器在1s結(jié)束時(shí)所計(jì)的數(shù)進(jìn)行鎖存,使顯示器上能穩(wěn)定地顯示此時(shí)計(jì)數(shù)器的值。由74LS273的功能表(表2)可知,當(dāng)時(shí)鐘脈沖CP的正跳變來到時(shí),鎖存器的輸出等于輸入,即Q=D。從而將計(jì)數(shù)器的輸出值送到鎖存器的輸出端,正脈沖結(jié)束后,無(wú)論D為何值,輸出端Q的狀態(tài)仍保持原來的狀態(tài)Qn不變,所以在計(jì)數(shù)期間內(nèi),計(jì)數(shù)器的輸出不會(huì)送到譯碼顯示器.

19、其引腳功能如圖16所示。表2 74LS273功能表輸入輸出Q清除時(shí)鐘DLXXLHHHHLLHLXQ0注:Q0=穩(wěn)態(tài)輸入條件建立之前Q的電平圖16 74LS273引腳圖5.3 74LS90計(jì)數(shù)器 集成十進(jìn)制異步計(jì)數(shù)器的型號(hào)有:74LS90、74196、74S196,74LS196、74290、74LS290等,它們都是按照8421BCD碼進(jìn)行加法計(jì)數(shù)的電路(如圖17),本設(shè)計(jì)采用的是74LS90計(jì)數(shù)器。圖17 8421BCD碼加法計(jì)數(shù)狀態(tài)圖74LS90是TTL系列的十進(jìn)制計(jì)數(shù)器,其內(nèi)部由四個(gè)主從觸發(fā)器和一些附加門電路組成,以提供一個(gè)2分頻計(jì)數(shù)器和一個(gè)三級(jí)的二進(jìn)制計(jì)數(shù)器。其引腳排列如圖7所示;邏輯

20、功能示意圖如圖18所示。圖18 74LS90的引出端排列圖此芯片有門控置“0”輸入端及還有門控置“9”輸入端。為了使用其最大計(jì)數(shù)長(zhǎng)度,須將Q0輸出端連到B輸入端。計(jì)數(shù)輸入脈沖加到輸入端A上,則輸出為BCD計(jì)數(shù)(見表3)。若把Q3連接到輸入端A上,輸出則為二五混合進(jìn)制(見表4)。這時(shí)輸入脈沖加在B端,在Q0的輸出上可以得到一個(gè)十分頻的方波。74LS90 復(fù)位/計(jì)數(shù)功能表(見表5)。圖19 74LS90的邏輯功能示意圖表3 BCD 計(jì)數(shù)時(shí)序 表4 二五混合進(jìn)制由于本次課程設(shè)計(jì)的技術(shù)指標(biāo)為1Hz9999Hz,因此就要求四個(gè)計(jì)數(shù)器級(jí)聯(lián),圖20是由74LS90 利用輸出Q3控制高一位的CP 端構(gòu)成的加計(jì)

21、數(shù)級(jí)聯(lián)圖。表5 74LS90 復(fù)位計(jì)數(shù)功能表 圖20 異步計(jì)數(shù)器級(jí)聯(lián)方案 5.4 數(shù)碼譯碼顯示器5.4.1 七段譯碼管一個(gè)LED數(shù)碼管可用來顯示一位09十進(jìn)制數(shù)和一個(gè)小數(shù)點(diǎn)。小型數(shù)碼管(0.5寸和0.36寸)每段發(fā)光二極管的正向壓降,隨顯示光(通常為紅、綠、黃、橙色)的顏色不同略有差別,通常約為22.5V,每個(gè)發(fā)光二極管的點(diǎn)亮電流在510mA。LED數(shù)碼管要顯示BCD碼所表示的十進(jìn)制數(shù)字就需要有一個(gè)專門的譯碼器,該譯碼器不但要完成譯碼功能,還要有相當(dāng)?shù)尿?qū)動(dòng)能力。LED數(shù)碼管是目前最常用的數(shù)字顯示器,按連接方式不同,七段顯示數(shù)碼管分為共陰極和共陽(yáng)極兩種。圖21 (a)、(b)為共陰管和共陽(yáng)管的電

22、路,(c)為兩種不同出線形式的引出腳功能圖。(d) 為7段LED顯示器顯示的字形。 圖21(a) 共陰連接(“1”電平驅(qū)動(dòng)) 圖21(b) 共陽(yáng)連接(“0”電平驅(qū)動(dòng))圖21(c) 符號(hào)及引腳功能圖21(d) 7段LED顯示器的字形5.4.2 BCD 碼七段譯碼驅(qū)動(dòng)器此類譯碼器型號(hào)有74LS47(共陽(yáng)),74LS48(共陰),CC4511(共陰)等,本設(shè)計(jì)采用74LS48 BCD碼七段譯碼/驅(qū)動(dòng)器,并驅(qū)動(dòng)共陰極LED數(shù)碼管。圖22為74LS48引腳排列圖;圖23為74LS48 內(nèi)部功能原理圖。 圖22 74LS48引腳排列圖圖23 74LS48的內(nèi)部功能原理圖其中:1、2、6、7 BCD 碼輸入

23、端;a 、b 、c 、d 、e 、f 、g 譯碼輸出端,輸出“1”有效,用來驅(qū)動(dòng)共陰極LED 數(shù)碼管。16腳為電源端,接5V電源。三個(gè)控制輸入端、都是低電平有效。其中:是輸入輸出共用一個(gè)端口,只有輸入4位數(shù)據(jù)為0時(shí),并且=0時(shí),該端口為輸出狀態(tài),輸出為低電平。功能見表6。表6 74LS48功能表三個(gè)控制輸入端、各自的功能如下: -燈測(cè)試輸入端,用來檢查數(shù)碼管七段是否都能正常工作。當(dāng)=0且=1時(shí),不管其他輸入狀態(tài)如何,a-g均輸出有效的邏輯“1”,數(shù)碼管七段均應(yīng)點(diǎn)亮。當(dāng)=1時(shí),譯碼器方可進(jìn)行譯碼工作。 -滅零輸入端,可用來熄滅無(wú)意義的“0”顯示,如整數(shù)前的“0” 和小數(shù)點(diǎn)后的“0”。 -熄滅輸入

24、端/滅零輸出端。當(dāng)=0時(shí),不管其他輸入狀態(tài)如何,數(shù)碼管七段均熄滅。當(dāng)=1時(shí),譯碼器正常工作。當(dāng)輸入數(shù)據(jù)DCBA=0000時(shí),滅“0”端=0時(shí),為滅0狀態(tài),變?yōu)檩敵龆?,輸出為低電平。同時(shí)由于74LS48內(nèi)部有升壓電阻而無(wú)需外部電阻。結(jié)束語(yǔ) 這次課程設(shè)計(jì)對(duì)我個(gè)人而言,確實(shí)是一項(xiàng)艱巨而富有挑戰(zhàn)性的任務(wù)。剛開始拿到這個(gè)題目,我頭都是大的,摸不清頭腦,不知道怎樣下手去做。不過第二天,我就開始大量查資料。從網(wǎng)上百度,去圖書館借相應(yīng)的書籍。后來終于在查閱大量網(wǎng)絡(luò)和圖書資料后構(gòu)出了自己的原理圖。并用Multisim 11進(jìn)行了仿真。在為期兩周的課程設(shè)計(jì)期間,我投入了自己的熱情和精力,從開始設(shè)計(jì)電路圖,選擇元器

25、件,到使用Multisim 11仿真電路等等。在共同做實(shí)物的過程中出現(xiàn)了不少的問題,我們組的成員沒有氣餒,沒有退縮,積極向老師和同學(xué)請(qǐng)教,并且一遍又一遍的重復(fù)實(shí)踐,直到得到我們組所期望的結(jié)果。事實(shí)也證明我們組的努力沒有白費(fèi),認(rèn)真嚴(yán)謹(jǐn)?shù)膽B(tài)度給我們帶來了成功的喜悅!我們組在規(guī)定的時(shí)間內(nèi)設(shè)計(jì)出的電路能夠滿足任務(wù)書上的兩個(gè)設(shè)計(jì)要求。并且實(shí)現(xiàn)了對(duì)被測(cè)信號(hào)的整形和測(cè)頻率后的清零功能。 這短短兩周的課程設(shè)計(jì),我感覺到自己在課本上學(xué)到的理論知識(shí)和實(shí)踐仍有一定的差距。這次課程設(shè)計(jì)其實(shí)是對(duì)我是一次檢驗(yàn),通過它的檢驗(yàn),我明白了以前所學(xué)過的只是書本上的一些理論基礎(chǔ)知識(shí),缺乏實(shí)踐應(yīng)用這一環(huán)節(jié)。我在具體電路的設(shè)計(jì)中,理論

26、和實(shí)踐方面的聯(lián)系有很多欠缺的地方,比如說對(duì)某些芯片的一些功能端缺乏足夠深入的了解,不能將其運(yùn)用的自如。在焊接板子時(shí),在板子上布局方面剛開始時(shí)沒有考慮合理,把線跳得很規(guī)律。但在最后,我們組均把這些遇到的問題一一克服了。我通過查資料知道,數(shù)字頻率計(jì)關(guān)鍵的地方就是計(jì)數(shù)器計(jì)數(shù)、鎖存器鎖存、以及譯碼器譯碼、顯示器顯示。弄懂這些后,再弄明白在實(shí)際的電路搭接過程中各個(gè)芯片的各管腳功能端的連接,電路功能就很容易實(shí)現(xiàn)了。在單元電路的計(jì)算方面,主要就是多頻振蕩器1秒脈沖頻率的計(jì)算方法。通過這次課程設(shè)計(jì),我認(rèn)識(shí)到實(shí)踐的重要性,它加深了我對(duì)數(shù)字電路的認(rèn)識(shí)。它使我掌握了設(shè)計(jì)一個(gè)數(shù)字電路的基本方法和基本步驟,增強(qiáng)了我在實(shí)際設(shè)計(jì)中尋找問題,解決問題的能力。此次課程設(shè)計(jì)的成功不僅幫助我更好地掌握了書本知識(shí),還增強(qiáng)了我的自信,培養(yǎng)了我獨(dú)立思考、自主學(xué)習(xí)和動(dòng)手能力。不過,在這次課程設(shè)計(jì)的過程中,我還是發(fā)現(xiàn)了自己的不足。如運(yùn)用Multisim 11仿真電路,開始時(shí)我的操作不是很熟練,經(jīng)常找不到所需的元件,仿真頻頻失敗。同時(shí)對(duì)Protel 99se了解的不是很深,沒法將其強(qiáng)大的功能用的課設(shè)中去,我覺得對(duì)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論