時序邏輯電路習題解答解讀_第1頁
時序邏輯電路習題解答解讀_第2頁
時序邏輯電路習題解答解讀_第3頁
時序邏輯電路習題解答解讀_第4頁
時序邏輯電路習題解答解讀_第5頁
已閱讀5頁,還剩43頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、自我測驗題1.圖T4.1所示為由或非門構成的基本SR鎖存器,輸入S、R的約束條件是。A. SR=0B. SR=1C. SR=0D. S+R=1圖 T4.1 圖 T4.22.圖T4.2所示為由與非門組成的基本SR鎖存器,為使鎖存器處于“置 1”狀態(tài),其S R應為。A. S R=00B. S R=01C. S R = 10D. S R = 113 . SR鎖存器電路如圖 T4.3所示,已知X、Y波形,判斷Q的波形應為A、B、C、D 中的B。假定鎖存器的初始狀態(tài)為0。ciD _ ! (a) (b)圖 T4.34 .有一 T觸發(fā)器,在T=1時,加上時鐘脈沖,則觸發(fā)器。A.保持原態(tài) B.置0C.置1D.

2、翻轉5 .假設JK觸發(fā)器的現(xiàn)態(tài) Qn=0,要求Qn+1 = 0,則應使。A. J=X, K = 0B, J=0, K=XC. J=1, K=XD. J=K= 16.電路如圖T4.6所示。實現(xiàn)Q n 1 Q n A的電路是圖 T4.67.電路如圖T4.7所示。實現(xiàn)Q n 1 Q n的電路是ACPA. B. C. D.圖 T4.78 .電路如圖T4.8所示。輸出端 Q所得波形的頻率為 CP信號二分頻的電路為。圖 T4.89 .將D觸發(fā)器改造成T觸發(fā)器,如圖T4.9所示電路中的虛線框內應是。;1D Q1CP>C1一 一圖 T4.9A.或非門 B.與非門C.異或門 D.同或門10 .觸發(fā)器異步輸

3、入端的作用是。A.清0B.置1 C.接收時鐘脈沖D.清0或置111 .米里型時序邏輯電路的輸出是。A.只與輸入有關B.只與電路當前狀態(tài)有關C.與輸入和電路當前狀態(tài)均有關D.與輸入和電路當前狀態(tài)均無關12 .摩爾型時序邏輯電路的輸出是。A.只與輸入有關B.只與電路當前狀態(tài)有關C.與輸入和電路當前狀態(tài)均有關D.與輸入和電路當前狀態(tài)均無關13 .用n只觸發(fā)器組成計數器,其最大計數模為。A . nB . 2nC. n2D. 2 n14 . 一個5位的二進制加計數器,由00000狀態(tài)開始,經過75個時鐘脈沖后,此計數器的狀態(tài)為:A . 01011B, 01100C, 01010D, 0011115.圖T

4、4.15所示為某計數器的時序圖,由此可判定該計數器為。16.電路如圖T4.16所示,假設電路中各觸發(fā)器的當前狀態(tài) 時鐘作用下,觸發(fā)器下一狀態(tài)Q2 Q1 Q0為。Q2 Q1 Q0為100,請問在Q0Q1Q21CPRd圖 T4.16A . 101 B . 100C.011D.00017.電路圖T4.17所示。設電路中各觸發(fā)器當前狀態(tài)Q2 Q1 Q0為110,請問時鐘CP作用下,觸發(fā)器下一狀態(tài)為。CP圖 T4.17A.101B . 010C. 110D. 11118 .電路如圖T4.18所示,74LS191具有異步置數的邏輯功能的加減計數器,其功 能表如表T4.18所示。已知電路的當前狀態(tài)Q3 Q2

5、 Q1 Q0為1100,請問在時鐘作用下,電路的下一狀態(tài) Q3 Q2 Q1 Q0為。00 0 0 0圖 T4.18A .1100B.1011C. 1101D, 0000表T4.1874LS191功能表LDCTU /DCPD0D1D2D3Q0Q1Q2Q30xxXd0d1d2d3d0d1d2d3100TXXXX加法計數101TXXXX減法計數11XxXXXX保持19 .下列功能的觸發(fā)器中,不能構成移位寄存器。A. SR觸發(fā)器 B. JK觸發(fā)器 C. D觸發(fā)器 D. T和T,觸發(fā)器。20 .圖T4.20所示電路的功能為。CP圖 T4.22A.并行寄存器B .移位寄存器 C.計數器 D.序列信號發(fā)生器

6、21 . 4位移位寄存器,現(xiàn)態(tài) Q0Q1Q2Q3為1100,經左移1位后其次態(tài)為。A . 0011 或 1011B. 1000 或 1001 C. 1011 或 1110 D. 0011 或 111122 .現(xiàn)欲將一個數據串延時 4個CP的時間,則最簡單的辦法采用。A. 4位并行寄存器B. 4位移位寄存器C. 4進制計數器D. 4位加法器23 . 一個四位串行數據,輸入四位移位寄存器,時鐘脈沖頻率為1kHz,經過可轉換為4位并行數據輸出。A. 8ms B. 4msC. 8 因D. 4 因24.由3級觸發(fā)器構成的環(huán)形和扭環(huán)形計數器的計數模值依次為。A. 8和 8 B. 6和 3 C. 6和 8D

7、. 3和 6習題1.由或非門構成叫基本SR鎖存器如圖 畫出與之對應的 Q和Q的波形。P4.1所示,已知輸入端 S、R的電壓波形,試圖 P4.1解:2.由與非門構成的基本SR鎖存器如圖P4.2所示,已知輸入端 試畫出與之對應的 Q和Q的波形。S、R的電壓波形,解:圖 P4.23 .已知雙門鎖存器如圖P4.3所示,試寫出該鎖存器的特性方程。圖 P4.3 圖 P4.4解:先寫出電路特性表。ABQnQn+1ABQnQn+100011001001110110100110101111111卡諾圖Qn 1 Qn AB4 .寫出圖P4.4所示鎖存器的特性方程解:CP=0 時;Rd=Sd = 0, Qn+1 =

8、 QnCP=1 時;RdRS , Sd=SQn 1SdSdRd0RdQ5.鐘控SR鎖存器符號如圖P4.5 (a)所示,設初始狀態(tài)為 波形如圖P4.5 (b)所示,試畫出相應的輸出Q波形。0,如果給定CP、S、R的圖 P4.5解:CPSRQ6. (1)分析圖P4.6 (a)所示由CMOS傳輸門構成的鐘控 D鎖存器的工作原理。DCPQG2Q圖 P4.6 (a)(2)分析圖P4.6 (b)所示主從D觸發(fā)器的工作原理。D圖 P4.6(b)(3)有如圖P4.6 (c)所示波形加在圖 P4.6 (a) ( b)所示的鎖存器和觸發(fā)器上,畫出它們的輸出波形。設初始狀態(tài)為0。CP圖 P4.6 (c)解:(1)圖

9、所示是用兩個 非門和兩個傳輸門構成的鐘控 D鎖存器。當CP = 1時,C=0、 C=1, TG1導通,TG2斷開,數據 D直接送到Q和Q端,輸出會隨 D的改變而改變。但 Gi、G2沒衣形成正反饋,不具備鎖定功能,此時稱電路處于接收數據狀態(tài);CP變?yōu)榈碗娖?時,C=1, C=0, TG1斷開,TG2導通,G1、G2形成正反饋,構成雙穩(wěn)態(tài)電路。由于 G1、G2輸入端存在的分布電容對邏輯電平有短暫的保持作用,因此,電路輸出狀態(tài)將鎖定 在CP信號由1變0前瞬間D信號所確定的狀態(tài)。(2)由兩個D鎖存器構成的主從 D觸發(fā)器,采用上升沿觸發(fā)方式,原理分析可參考4.2.1節(jié)有關內容。(3) D鎖存器輸出波形圖

10、CPDQD觸發(fā)器輸出波形圖7.圖P4.7 (a)所示的為由D鎖存器和門電路組成的系統(tǒng),鎖存器和門電路的開關參 數如下:鎖存器傳輸延時tpd(DQ)=15ns, tpd (cq) =12ns,建立時間tsu=20ns;保持時間tH=0ns。與門的傳輸延遲時間tpdAND=16ns,或門的傳輸延遲時間tpdOR=18ns,異或門的傳輸延遲時間 tpdXOR=22ns。(1)求系統(tǒng)的數據輸入建立時間tSUsys;(2)系統(tǒng)的時鐘及數據輸入1的波形如圖P4.7(b)所示。假設數據輸入2和數據輸入3均恒定為0,請畫出Q的波形,并標明 Q對于時鐘及數據輸入 1的延遲。80ns數據輸入1 - 數據輸入2 數

11、據輸入3 控制輸入 時鐘輸入1D一Q時鐘C1Q- Q數據輸入1 -_鎖存器:EH80ns50ns! !10ns10nsI(a)解:(1)系統(tǒng)的數據輸入建立時間8.有一上升沿觸發(fā)的JK觸發(fā)器如圖P4.8 (a)所示,已知 CP、J、K信號波形如圖P4.8 (b)所示,畫出Q端的波形。(設觸發(fā)器的初始態(tài)為 0)(b)圖 P4.71$s丫5=或門的傳輸延遲+異或門的傳輸延遲+鎖存器的建立時間-與門的傳輸延遲 =tpdOR+tpdXOR+tSU- tpdAND=18ns+22ns+20ns- 16ns =44ns。(2)解:(a) (b)圖 P4.89 .試畫出如圖P4.9所示時序電路在一系列 CP信

12、號作用下,Q0、Qi、Q2的輸出電壓波形。設觸發(fā)器的初始狀態(tài)為Q=0OCPQiQo圖 P4.9解:先畫Qo波形,再畫Qi波形,最后畫 Q2波形。cp rLrmLrLrmrLTLrL » r Qo I I I I I IQiQ210 .有一簡單時序邏輯電路如圖P4.10所示,試寫出當 C=0和C=1時,電路的狀態(tài)方程Qn+1,并說出各自實現(xiàn)的功能。XCPC圖 P4. 10解:當 C=0 時,J=X, K=XQn 1 JQn KQn當 C=1 時,J=XKQn 1 JQn KQnXQnXQn 為T觸發(fā)器XX 為D觸發(fā)器11 .用上升沿D觸發(fā)器和門電路設計一個帶使能EN的上升沿D觸發(fā)器,要

13、求當EN=0時,時鐘脈沖加入后觸發(fā)器也不轉換;當 EN=1時,當時鐘加入后觸發(fā)器正常工作,注: 觸發(fā)器只允許在上升沿轉換。解:當 EN=0 , Qn+1 = Qn;當 EN=1, Qn+1 = D ,貝U12 .由JK觸發(fā)器和D觸發(fā)器構成的電路如圖P4.12 (a)所示,各輸入端波形如圖P4.12Qn 1 EN Q1n EN D ,令 D EN Q1n EN D 即可。(b),當各個觸發(fā)器的初態(tài)為 0時,試畫出Q。和Q1端的波形,并說明此電路的功能。A(a) (b)圖 P4.12解:jT-hmH1-L_L_OLruru(1 1FUTL1 11 i i! !L1 ;:I 1!11 «1

14、 1r*ui1 山一一?1111IBAQi根據電路波形,它是一個單發(fā)脈沖發(fā)生器,A可以為隨機信號,每一個 A信號的下降沿后;Qi端輸出一個脈寬周期的脈沖。13 .時序電路如圖 P4.13 (a)所示。給定 CP和A的波形如圖P4.13 (b)所示,畫出Qi、Q2、Q3的波形,假設初始狀態(tài)為0。解:CP1AACPQ2Q1Q1n 1Q1n , R)(b)圖 P4.1314 .分析圖P4.14示電路,要求:(1)寫出JK觸發(fā)器的狀態(tài)方程;(2)用X、Y、Qn作變量,寫出 P和Qn+1的函數表達式;(3)列出真值表,說明電路完成何種邏輯功能。CPXYPP4.14解:(1) Qn 1 JQn KQn X

15、YQn (X Y)Qn XY XQn YQn(2)XYQnQn 1PXYQnQn 1P0000010001001011011001001110100111011111(3)串行加法奮15 .試分析如圖P4.15同步時序邏輯電路,并寫出分析過程。CP圖 P4.15解:(1)寫出驅動方程J 0 Q2 J 1 QK0 Q2(2)n K1 Qn0n !0Q0nQ1nQ2n寫出狀態(tài)方程Q2 nQQ0nQ2n 1Q1Q0n 1Q2 nQQ0nQ2n 1Q1Q0n 1000001100000001010101011010011110010011100111001 n_n n 1 n n n n n 1 n

16、n n Q2Q0, QQ0Q1Q0Q ,Q2Q0QQ2n 1- n _ nQ0 Q2 Q0(3)列出狀態(tài)轉換真值表(4)畫出狀態(tài)轉換圖(5)自啟動校驗,能夠自啟動(6)結論:具有自啟動能力的同步五進制加法計數器。16 .同步時序電路如圖 P4.16所示。(1)試分析圖中虛線框電路,畫出Q0、Qi、Q2波形,并說明虛線框內電路的邏輯功(2)若把電路中的 Y輸出和置零端 Rd連接在一起,試說明當X0X1X2為110時,整個電路的邏輯功能。X。X1X2CPRD圖 P4.16解:(1)寫出每級觸發(fā)器的狀態(tài)方程_ n 1Q2n n nn 1n nQ2 Q1 Q0,Q1Q1 Q0n nn 1Q1 Q0 ,

17、 Q0n nQ2 Q0分析后,其狀態(tài)轉換圖為: QsQ2q所以波形圖為:CP 丁丁Q0 Q1 Q2 電路是一個同步五進制可以自啟動的加法計數器(2) Y XiQ0X2 Qi X3Q2 ,當 X 1X2X3=110 時,Y Q0 Qi Q2 ,當Q2Q1Q0出現(xiàn)011狀態(tài)時,rd y 0使計數器的狀態(tài)清 0,故此種情況下,整個電路功能為一個三進制加法計數器。17.試用D觸發(fā)器設計一個同步五進制加法計數器,要求寫出設計過程。 解:(1)狀態(tài)轉換圖(3)求狀態(tài)方程(2)狀態(tài)真值表Q2 nQ1nQ nQ0Q2n 1Q1n 1Q0n 1Q2 nQ1nQ nQ0Q2n 1Q1n 1Q n 1Q000000

18、1100000001010101xXX010011110xXX011100111XXXn1 n.n n 1 n n n n n 1 n nQ2 Q1 Q0 Q1Q1 Q0 Q1 Q0 Q0Q2 Q0(4)驅動方程D2Q1nQ0n , D1 Q1n Q°n,D0Q2n Q0n(5)邏輯圖(6)自啟動檢驗。18.設計三相步進電機控制器:工作在三相單雙六拍正轉方式,即在 三個線圈A、B、C按以下方式輪流通電。CP作用下控制3 A AB B BC Cc CA解:將A、B、C分別由三個觸發(fā)器(Q2、Qi、Q0)的輸出,則可畫出狀態(tài)轉換圖:(4)邏輯圖根據狀態(tài)轉換圖列出狀態(tài)真值表 (2)狀態(tài)真值

19、表Q2 nQinQ0nQ2n 1Q1n 1Q0n 1Q2 nQ1nQ0nQ2n 1Q1n 1Q0n 1000xxx100110001101101100010011110010011001111xxx(3)求狀態(tài)方程Q2QiQ01D>C1Q0Q0FF0Q1Q0&Q2CP1D> C1FF1>11D>C1QiQ1-Q2Q2 33FF2(4)仿真結果19.表P4.19為循環(huán)BCD碼的編碼表,試用 JK觸發(fā)器設計一個循環(huán) BCD碼十進制 同步加法計數器,并將其輸出信號用與非門電路譯碼后控制交通燈:紅燈 R、綠燈G和黃燈丫。要求一個工作循環(huán)為:紅燈亮 30秒,黃燈亮10秒,

20、綠燈亮50秒,黃燈亮10秒。 要求寫出設計過程,并畫出 CP、R、G和Y的波形圖。寫出設計過程并用QuartusII軟件仿真。表P4.19循環(huán)BCW十進制數DCBA十進制數DCBA00000511101000161010200117101130010810014011091000解:(1)列出狀態(tài)真值表Q3nQ2nQ1nQ0nQ3n 1Q2n 1Q1n 1Q0n 1000000010001001100100110001100100100X 1X 1X 0X 10101X 1X 1X 1X 1011011100111X 1X 1X 1X 01000000010011000101010111011

21、10011100X 0X 0X 0X 01101X 0X 0X 0X 0111010101111X 0X 0X 0X 1(2)求狀態(tài)方程n 1Qj1Q;Qj QnQ;Q(nQ;QinQ0nQg Q3n(Q2Q0 Qin Q0)Q31q1 1q1q31 Q31 qIq; QonQnQinQ;QnQ31Q1n 1Q3; QdQ1n(Q0QDQinQ1n 1Q1nQ(nqIq1nQ31 Q1nQ0nq1 1q1 q1nq1q;q1n(QnQ1nQnQ;Q1n) Qn(QQ1nQ31Q2Q1n)Q01(3)驅動方程J3 Q2 , K3qq1QQJJ2Q3,Q1n QS ,K2 Qnj 1 q3 Qq

22、, K1q01q31J0Q3n Q1nQ$Q21Q1n, K0Qi Q1nQ31 Q21Q1n(4)電路圖CP(5)自啟動校驗從狀態(tài)表可知,無效狀態(tài)通過幾個 CP脈沖以后能夠進入有效循環(huán), 所以能夠自啟動。(6)譯碼電路設計真值表Q3Q2Q1Q0RGYQ3Q2Q1Q0RGY000010010010100001100100000100111000100XXX00100010101XXX01100100111XXX11100101100XXX10100101101XXX10110101111XXX表達式R Q3 Qi Q3 Q0 GQ2 Q3Q0Q3Q1 Y Q3Q2Q1 Q0Q3Q1Q0仿真波形

23、20.圖P4.20為一個米里型序列檢測器的狀態(tài)轉換圖。用D觸發(fā)器實現(xiàn)該電路,并用QuartusII軟件對該電路進行仿真,說明邏輯功能。(S0、S、S2的編碼分別為00、01、11)圖 P4.20解:(1)根據題意列出電路的狀態(tài)表:(2)狀態(tài)方程:-n 1-_ 一Q1XQ1Q0 XQ1 ,_ n 1-_Q0 XQ0XQ1 ,ZXQ1XQ1nQ0 nQ1n 1Q0n 1Z0000000010100111101000101011101110010101 x0X0X1100X0X1 X(3)輸出方程:Z XQ1(4)驅動方程:D1XQ1Q0 XQ1D0 XQ0 XQi(5)電路圖(6)仿真結果邏輯功能

24、:該電路統(tǒng)計輸入 1的個數,當X輸入3個1 (不需要連續(xù)輸入)時,輸出Z為1。和輸出序列均由最低有效位開始串行輸入和輸出。要求將串行編碼轉換器設計成米里型狀 態(tài)機。解:如果8421BCD碼的所有位同時可用,那么碼轉換器可以用一個4輸入-4輸出的組合邏輯電路來實現(xiàn)。但在這里BCD碼是串行傳輸的數據,因此,必須用時序邏輯電路來實現(xiàn)。(1)列出狀態(tài)轉換圖表1所示為8421BCD碼和余3BCD碼的對應表8421BCD 碼余3BCD碼0000001100 10101100100100101001101100100011101 101110001011010010111101010001011100111

25、00狀態(tài)設定設初始狀態(tài)為 S0,當8421BCD碼第一位到達時,如果 X=0,加上1,則Y=1 (沒有 進位),進入狀態(tài)S1 (表示第一次加運算后沒有進位);如果X=1 ,加上1,則Y=0 (有進 位),進入狀態(tài)S2 (表示有進位)。當8421BCD碼第二位到達時,如果在狀態(tài) S1,則若X=0,加上1,則Y=1 ,且沒有 進位,進入狀態(tài) S3;若X=1 ,加上1,則Y=0 ,且有進位,進入狀態(tài) S4。如果在狀態(tài)S2, 則若X=0,加上1 ,則Y=0 ,且有進位,進入狀態(tài) S4;若X=1,加上1 ,則Y=1 ,且有進 位,進入狀態(tài)S4。當8421BCD碼第三位到達時,如果狀態(tài)為S3,則無任X=0

26、還是為1,進入斗犬態(tài)S5(無 進位);如果狀態(tài)為 S4,當X=0時,進入狀態(tài) S5,如果X=1 ,狀態(tài)進入S6。當8421BCD碼第四位到達時,不管狀態(tài)為S5還是S6均回到S0。狀態(tài)轉換圖如圖所示。狀態(tài)表當前狀態(tài)下一狀態(tài)ZX=0X= 1X=0X=1S0S1S210S1S3S410S2S4S401S3S5S501S4S5S610S5S0S001S6S01狀態(tài)編碼為了減少邏輯門的數量,狀態(tài)編碼采用以下原則:(1)在給定輸入的情況下,有相同次態(tài)的狀態(tài)應給予只有一位不同的相鄰賦值;(2)同一狀態(tài)的次態(tài)應給予相鄰賦值;(3)在給定輸入的情況下,輸出相同的狀態(tài)給予相鄰賦值。因此,狀態(tài)編碼如圖所示。S0S1

27、S4S6S2S3S501Q1nanQ2n00 011110根據狀態(tài)編碼,列出狀態(tài)轉換真值表。000wZD0X000J000X011110Q2n 1Q1n Q0nXQ2 n'00 011110n 1n nQ1 Q1 QXQ2n00 0111 1000Q2n 1 X Q2nQ0nQ2nQ1nQ0nXQ1nQ0n-n 1Qo- Q1n Q0nXQ2n111100X100X100口0X0001111000011110Q0n 1 Q1n011、0X110X1100IIX011110八n 1 八 nQ1 Q0Q_113X000b11000X00011110Y X Q2 n XQ2nY Q1nQ0n

28、xq2n,00 0111 10q2 Q1n QnQn 1 Qin 1 Qg 1YX=0X= 1X=0X=1000001101100011110111010101101101111110110010111100101011000000001010000XXX1X100XXXXXXXX邏輯圖FF0X22 .根據同步二進制計數器的構成規(guī)律,用上升沿觸發(fā)T觸發(fā)器和 與非門設計8進制加減計數器,當 M = 0時為加法計數器,當 M = 1時為減法計數器,并要有進位和借位輸出 信號。畫出電路。解:CP23.由四位二進制計數器 74161及門電路組成的時序電路如圖P4.23所示。要求:(1)分別列出X=0和

29、X=1時的狀態(tài)圖;(2)指出該電路的功能。Q2 161D20Q3D3COLDRDY圖P4.23,Q3Q2Q1Q0電路為8進制加計數器,狀態(tài)轉換圖為:解:(1) X=0 時,(2) X=1時,電路為5進制加計數器,狀態(tài)轉換圖為:24.由四位二進制計數器 74161組成的時序電路如圖 P4.24所示。列出電路的狀態(tài)表, 假設CP信號頻率為5kHz,求出輸出端 Y的頻率。解:狀態(tài)圖如圖所示:F信號為CP信號的五分頻,因此其頻率為 1kHz o25.由四位二進制計數器 74LS161和4位比較器74LS85構成的時序電路如圖 P4.25 所示。試求:(1)該電路的狀態(tài)轉換圖;(2)工作波形圖;(3)簡

30、述電路的邏輯功能;(4)對電路做適當修改,實現(xiàn) N (NV16)進制計數。1P4.25解:(1)(2)(3)(4)11將26.進制加法計數器N從74LS85的B3B2B1B0輸入即可。如圖P4.26所示為由計數器和數據選擇器構成的序列信號發(fā)生器,74161為四位二進制計數器,74LS151為8選1數據選擇器。請問:(1) 74161接成了幾進制的計數器?(2)畫出輸出CP、Qo、Q1、Q2、L的波形(CP波形不少于10個周期)。11CP0 000圖 P4.26解:(1) 74161接成6進制計數器(2)波形如下:27.試分析如圖P4.27所示電路的邏輯功能。圖中74LS160為十進制同步加法計

31、數器,其功能如表P4.27所示。C1CP圖 P4.27表P4.27 74LS160 功能表CP石LDEPET工作狀態(tài)X0XXX置零T10XX預置數X1101保持X11X0保持(但CO=0)T1111計數解:28進制加法計數器。(8421BCD碼輸出)28.用74161構成十一進制計數器。要求分別用“清零法”和“置數法”實現(xiàn)。解:(1)清零法(2)置數法 Q3Q2Q1Q0&1 -1CPEp Q0 Qi Q2 Q3 coET 74161 LD 當 c _RD>CP D0 D1 D2 D3 Rd °-29.試用圖P4.29 (a)所示的電路和最少的門電路實現(xiàn)圖P4.29 (

32、b)的功能,要求發(fā)光二極管亮三秒暗四秒,周期性地重復。ep Q Q1 Q2 Q3 co 一ET 74161 LD 吧RD允P D0 D1 D2 D3 Rd 0-TTLCPJ1 J2L3J4J5L6J7J8U9L;1s亮; 暗I面過程- iE1(a) (b)圖 P4.29解:00 00CP |1J2_3_4J5L6L7L8I l9_! 1s !I!重復前30.用十六進制同步加法計數器74161設計能自啟動的2421BCD碼十進制加法計數器,可用必要的門電路。解:2421BCD碼的狀態(tài)轉換圖Q3Q2 , D3D2D1D0=1011 ,連線圖為:計至0100時置1011: LD110 131 .設計

33、一個可控計數器, X=0時實現(xiàn)8421BCD碼計數器,X=1時實現(xiàn)2421BCD碼計 數器。8421BCD 碼2421BCD 碼00000000000100010010001000110011010001000101101101101100011111011000111010011111解:X=0 時,計至 9 時置 0000: LD Q3Q0 , D3D2D1D0=0000X=1 時,計至 4 時置 1011: LD Q3Q2 , D3D2D1D0=1011LD XQ3Q0 XQ3Q2 , D2=0, D3=Di=D0=X32.如圖P4.32所示為用兩片74161構成的100進制計數器,兩片

34、 74161采用同一時 鐘信號,每片74161均接成10進制計數器,然后級聯(lián)。試用 QuartusII軟件對電路仿真, 從仿真結果判斷能否實現(xiàn) 100進制計數,并分析原因。如不能實現(xiàn) 100進制計數,請對電 路做適當改進,并用QuartusII對電路重新仿真。CP圖 P4.32解:無法實現(xiàn)100進制計數,因為,當計數到 就進入00000001 (01)。其仿真結果為:10010000 (90)時,再來一個 CP脈沖對改進后電路的仿真結果:blest 中 ” me Bar ",525 ms小| Pdntef:1旭小 3.07 tiKx oF 00FUtiM11. SB TiE2.4S

35、g 2 <JK nE 3 0*-3 02 mt3 D6 nt "呢QIIIt_r"L r"L r L n n n n_ri_nLT33.解:用兩片集成計數器 74161構成75進制計數器,畫出連線圖。CP34.用兩片74161和門電路實現(xiàn)同步雙模計數器。當 M=0時24進制,M = 1時60進制,要求電路不能過渡狀態(tài)。解: M=0 時:LD = P23=Q4 Q2 Q 1Q0 M = 1 時: LD = P59=Q5 Q4 Q3 Q 1 Q0LD=MQ4Q2Q1Qo+MQ5 Q4 Q3 Q 1 Q0CP35.中規(guī)模集成計數器 74LS193引腳圖和邏輯符號、

36、功能表分別如圖 P4.35和如表P4.35所示,其中CO和BO分別為進位和借位輸出。(1)請畫出進行加法計數實驗時的實際連接電路。(2)試通過外部的適當連線,將 74LS193連接成8421BCD碼的十進制減法計數器。16| 15 14 13 12 11| 109Vcc Do RD BO CO LD D? D374LS193D1 Q1 Q0 CPd CPu Q2 Q3 GND1 I 2 3 4 5 6I 7I L引腳圖BO COI ! I I IBO CO Q0 Q1 Q2 Q3RD 74LS193 ld JdCPu CPd Do D1 D2 D3 人邏輯符號圖 P4.35表 P4.35解:(

37、1)進行加法計數實驗時的電路連接如圖, 1,輸出為 Q3、Q2、Q1、Q0OCPd接1, CPu接計數脈沖,RD=0, LD接BO COBO CO Q0 Q1 Q2 Q3LDRD 74LS193 ld 0CPu CPd D0 D1 D2 D3 人人1 cp 1001cp 1(2)要求按8421編碼十進制減法計數時,電路圖如上右圖所示,狀態(tài)轉換圖為輸 入輸出RDLDCPuCPdD3D2D1D0Q3Q2Q1Q01XXXXXXX000000XXd3d2d1d0d3d2d1d001T1XXXX4位二進制加計數011TXXXX4位二進制減計數由功能表可知,74LS193是異步置數,因此當出現(xiàn)0000后,先出現(xiàn)1111,才能把計數 器置成1001 ,隨后開始減法計數,電路如圖所示

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論