數(shù)字電子課程設(shè)計(jì)路搶答器課程設(shè)計(jì)報(bào)告_第1頁
數(shù)字電子課程設(shè)計(jì)路搶答器課程設(shè)計(jì)報(bào)告_第2頁
數(shù)字電子課程設(shè)計(jì)路搶答器課程設(shè)計(jì)報(bào)告_第3頁
數(shù)字電子課程設(shè)計(jì)路搶答器課程設(shè)計(jì)報(bào)告_第4頁
數(shù)字電子課程設(shè)計(jì)路搶答器課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、四人智力競賽搶答器課程設(shè)計(jì)報(bào)告一、設(shè)計(jì)題目題目:四人智力競賽搶答器二、設(shè)計(jì)任務(wù)和要求1)設(shè)計(jì)任務(wù)設(shè)計(jì)一臺(tái)可供4名選手參加比賽的智力競賽搶答器。 用數(shù)字顯示搶答倒計(jì)時(shí)間,由“9”倒計(jì)到“0”時(shí),無人搶答,蜂鳴器連續(xù)響1秒。選手搶答時(shí),數(shù)碼顯示選手組號(hào),同時(shí)蜂鳴器響1秒,倒計(jì)時(shí)停止。2)設(shè)計(jì)要求(1)4名選手編號(hào)為:1,2,3,4。各有一個(gè)搶答按鈕,按鈕的編號(hào)與選手的編號(hào)對(duì)應(yīng),也分別為1,2,3,4。(2)給主持人設(shè)置一個(gè)控制按鈕,用來控制系統(tǒng)清零(搶答顯示數(shù)碼管滅燈)和搶答的開始。(3)搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開始后,若有選手按動(dòng)搶答按鈕,該選手編號(hào)立即鎖存,并在搶答顯示器上顯示該編

2、號(hào),同時(shí)揚(yáng)聲器給出音響提示,封鎖輸入編碼電路,禁止其他選手搶答。搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清零為止。(4)搶答器具有定時(shí)(9秒)搶答的功能。當(dāng)主持人按下開始按鈕后,定時(shí)器開始倒計(jì)時(shí),定時(shí)顯示器顯示倒計(jì)時(shí)間,若無人搶答,倒計(jì)時(shí)結(jié)束時(shí),揚(yáng)聲器響,音響持續(xù)1秒。參賽選手在設(shè)定時(shí)間(9秒)內(nèi)搶答有效,搶答成功,揚(yáng)聲器響,音響持續(xù)1秒,同時(shí)定時(shí)器停止倒計(jì)時(shí),搶答顯示器上顯示選手的編號(hào),定時(shí)顯示器上顯示剩余搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。(5)如果搶答定時(shí)已到,卻沒有選手搶答時(shí),本次搶答無效。系統(tǒng)揚(yáng)聲器報(bào)警(音響持續(xù)1秒),并封鎖輸入編碼電路,禁止選手超時(shí)后搶答,時(shí)間顯示器顯示0。(6)

3、可用石英晶體振蕩器或者555定時(shí)器產(chǎn)生頻率為1Hz的脈沖信號(hào),作為定時(shí)計(jì)數(shù)器的CP信號(hào)。三、原理電路設(shè)計(jì):1、方案比較;方案一:搶答電路:使用74ls175作為鎖存電路,當(dāng)有人搶答時(shí), 利用鎖存器的輸出信號(hào)號(hào)將時(shí)鐘脈沖置零,74ls175立即被鎖存,同時(shí)蜂鳴器鳴叫1s,這時(shí)搶答無效,使用74ls148作為編碼器,對(duì)輸入的型號(hào)進(jìn)行編碼,輸出4位的BCD碼,再將這四位的BCD碼輸入共陰數(shù)碼管里顯示出搶答者的編號(hào)。主持人電路:;利用74ls190計(jì)數(shù)器作為倒計(jì)時(shí)的芯片,當(dāng)主持人按下?lián)尨鸢粹o時(shí),74ls190被置九,同時(shí)將顯示上次搶到題目的選手編號(hào)的數(shù)碼管清零,并開始倒計(jì)時(shí),并通過74ls48編碼器將

4、即時(shí)時(shí)間進(jìn)行編碼,并送到7段共陰數(shù)碼管,顯示此時(shí)的時(shí)間。假如在9秒內(nèi)有人搶答,則計(jì)數(shù)器停止倒計(jì)時(shí),將鎖存器鎖存,禁止選手搶答,蜂鳴器鳴叫一秒,停止倒計(jì)時(shí)。方案二:鎖存電路采用CD4042來觸發(fā),如果用CD4042,則可以用低電平觸發(fā),當(dāng)有人搶答時(shí), 利用鎖存器的輸出信號(hào)號(hào)將時(shí)鐘脈沖置零,CD4042 立即被鎖存,同時(shí)蜂鳴器鳴叫1s,這時(shí)搶答無效。此外當(dāng)?shù)褂?jì)時(shí)到0時(shí),利用借位端來將鎖存器的信號(hào)置零。而不是像方案一那樣使用max/min端。對(duì)以上兩個(gè)方案進(jìn)行比較可以發(fā)現(xiàn),兩個(gè)方案均能理論上實(shí)現(xiàn)電路的功能,但是從實(shí)際情況看,CD4042比較少見,很難買到這個(gè)芯片,而74ls175則很常見,比較容易買

5、到。而在實(shí)際情況中,雖然74ls190的max/min端和同樣是借位端,當(dāng)時(shí)只有半個(gè)周期的變化,并不能將時(shí)鐘信號(hào)置零,而max/min有一個(gè)周期的變化,所以用max/min比較合適。宗上所述,選擇第一個(gè)方案比較合理。2、電路流程圖;鎖存器電路編碼器電路譯碼器電路數(shù)碼管顯示倒計(jì)時(shí)電路編碼器電路數(shù)碼管顯示1khz脈沖電路1hz脈沖電路單穩(wěn)態(tài)電路蜂鳴器電路門單元電路選手搶答主持人按鈕整個(gè)電路如上圖所示,主要分為兩部分,一個(gè)是倒計(jì)時(shí)部分,一個(gè)是搶答電路,其中搶答器電路由鎖存器電路,編碼器電路,譯碼器電路,數(shù)碼管顯示電路組成,其中鎖存器電路可用1khz脈沖電路作為其時(shí)鐘端輸入脈沖,倒計(jì)時(shí)電路由倒計(jì)時(shí)芯片

6、,編碼器電路路,數(shù)碼管顯示電路,倒計(jì)時(shí)采樣1hz的脈沖作為時(shí)鐘信號(hào)輸入端。單穩(wěn)態(tài)電路可以控制蜂鳴器鳴叫的時(shí)間,按要求可設(shè)置為1秒鐘。3、單元電路設(shè)計(jì);(1)、鎖存器電路的設(shè)計(jì)鎖存器電路采用以74ls175為中心的鎖存器系統(tǒng),當(dāng)4個(gè)搶答輸入端中出現(xiàn)低電平輸入時(shí)信號(hào)時(shí),鎖存器立即鎖存,禁止搶答,其原本為4個(gè)高電平的輸出端也變成3高一低,可以利用一個(gè)4輸入與非門將其與非,再接一個(gè)非門后,可以與74ls175的時(shí)鐘信號(hào)相與非,使得CLK端的輸入信號(hào)為底電平,從而阻止其余選手的搶答,從而達(dá)到鎖存的目的74ls175的真值表如下:鎖存器的單元電路設(shè)計(jì)如下:(2)、編碼器電路的設(shè)計(jì)編碼器采樣74ls148作

7、為編碼芯片,將輸入的信號(hào)進(jìn)行編碼,然后輸出2二進(jìn)制碼,由于74ls175為優(yōu)先編碼其,故需要將其未用到的高優(yōu)先級(jí)的端和74ls175的輸出的4與非端進(jìn)行連接,避免在無人搶答時(shí)輸出型號(hào)。74ls148的真值表如右圖:編碼器電路如下:(3)、譯碼器電路和數(shù)碼管顯示電路的設(shè)計(jì)搶答部分和倒計(jì)時(shí)部分的譯碼器均采用74ls48芯片,而數(shù)碼管則選擇與之相對(duì)應(yīng)的7段共陰數(shù)碼管搭配,為避免電路過小,可在譯碼器與數(shù)碼管間接上拉電阻以增大電流,上拉電阻選用1k的9針排阻。譯碼器電路和數(shù)碼管顯示電路設(shè)計(jì)如下:74ls48的真值表如下:(4)、倒計(jì)時(shí)電路的設(shè)計(jì)倒計(jì)時(shí)電路采用74ls190作為倒計(jì)時(shí)芯片,并將其輸入端置九

8、,clk信號(hào)輸入端采樣1hz的信號(hào)輸入,同時(shí)可利用其借位輸出端MAXMIN來控制搶答端,并且可以讓電路在到零時(shí)保持。74ls190真值表如下:倒計(jì)時(shí)單元電路如下:(5)、時(shí)鐘電路的設(shè)計(jì)在本電路中需要兩種時(shí)鐘脈沖,一種是給74ls175提供的1khz脈沖信號(hào),另一種是給倒計(jì)時(shí)電路74ls190提供的1hz,根據(jù)555多諧振蕩器的頻率計(jì)算公式:可以求得1hz的電路電阻均取47k,電容取10uf,而1khz電路的電阻取4.7k,電容取0.1uf。(6)、單穩(wěn)態(tài)電路及蜂鳴器的設(shè)計(jì)為保證蜂鳴器鳴叫時(shí)間為一秒,可以使用單穩(wěn)態(tài)觸發(fā)電路來實(shí)現(xiàn),單穩(wěn)態(tài)電路的芯片可以選擇74ls123。根據(jù)74ls123的暫穩(wěn)態(tài)

9、計(jì)算公式:我們可以選擇R為36k,C為100uf,則在誤差許可范圍內(nèi),鳴叫時(shí)間大約是1秒。74ls123的真值表如下:蜂鳴器采用有源蜂鳴器,為避免單穩(wěn)態(tài)電路輸出端電流不足,可以使用一個(gè)NPN三極管來驅(qū)動(dòng)蜂鳴器鳴叫。單穩(wěn)態(tài)電路及蜂鳴器電路如下:4、電路工作原理;搶答電路:使用74ls175作為鎖存電路,當(dāng)有人搶答時(shí), 利用鎖存器的輸出信號(hào)號(hào)將時(shí)鐘脈沖置零,74ls175立即被鎖存,同時(shí)蜂鳴器鳴叫1s,這時(shí)搶答無效,使用74ls148作為編碼器,對(duì)輸入的型號(hào)進(jìn)行編碼,輸出4位的BCD碼,再將這四位的BCD碼輸入共陰數(shù)碼管里顯示出搶答者的編號(hào)。主持人電路:;利用74ls190計(jì)數(shù)器作為倒計(jì)時(shí)的芯片,

10、當(dāng)主持人按下?lián)尨鸢粹o時(shí),74ls190被置九,同時(shí)將顯示上次搶到題目的選手編號(hào)的數(shù)碼管清零,并開始倒計(jì)時(shí),并通過74ls48編碼器將即時(shí)時(shí)間進(jìn)行編碼,并送到7段共陰數(shù)碼管,顯示此時(shí)的時(shí)間。假如在9秒內(nèi)有人搶答,則計(jì)數(shù)器停止倒計(jì)時(shí),將鎖存器鎖存,禁止選手搶答,蜂鳴器鳴叫一秒,停止倒計(jì)時(shí)。5、整體電路。(具體清晰電路請(qǐng)?jiān)斠姼郊╇娐吩鍐危好Q及標(biāo)號(hào)型號(hào)及大小封裝形式數(shù)量鎖存器74ls175DIP161個(gè)編碼器74ls148DIP161個(gè)譯碼器74ls48DIP162個(gè)計(jì)數(shù)器74ls190DIP161個(gè)4與非門74ls20DIP142個(gè)2與非門74ls00DIP141個(gè)非門74ls04DIP14

11、1個(gè)556NE556NDIP141個(gè)單穩(wěn)觸發(fā)器74ls123DIP141個(gè)復(fù)位開關(guān)SW-PBSW-PB5個(gè)電阻200AXIAL-0.35個(gè)47kAXIAL-0.32個(gè)35kAXIAL-0.31個(gè)4.7kAXIAL-0.32個(gè)10kAXIAL-0.31個(gè)10AXIAL-0.31個(gè)電容100ufRB.3/.61個(gè)10ufRB.2/.4一個(gè)104RAD-0.21個(gè)103RAD-0.12個(gè)九針排阻1kSIP92個(gè)7段共陰數(shù)碼管2個(gè)NPN三極管8050T092-A2個(gè)蜂鳴器1個(gè)四、電路和程序調(diào)試過程與結(jié)果:先按照設(shè)計(jì)圖各個(gè)單元電路進(jìn)行仿真,并對(duì)各個(gè)電路的性能及波形進(jìn)行測(cè)試,發(fā)現(xiàn)電路的缺點(diǎn)和不足之處,例如

12、74ls190的借位輸出端端雖然在倒計(jì)時(shí)到0時(shí)有低電平出現(xiàn),但是時(shí)間只有半個(gè)周期,無法與信號(hào)與非,故不能用來和鎖存觸發(fā)器,必須用maxmin代替。當(dāng)調(diào)試好各個(gè)單元電路時(shí),對(duì)各個(gè)電路進(jìn)行連接組裝,連接好后對(duì)電路總的性能進(jìn)行調(diào)試,看各部分的功能能達(dá)到要求。本電路在連接后,經(jīng)測(cè)試,各部分的功能均能實(shí)現(xiàn),顯示正確五、總結(jié)本電路使用了鎖存器,編碼器,譯碼器數(shù)碼管等構(gòu)成倒計(jì)時(shí)電路使用了74ls190等電路實(shí)現(xiàn)倒計(jì)時(shí),并利用使能端及門電路,實(shí)現(xiàn)各項(xiàng)鎖存,鳴叫,清零等功能,總結(jié)如下:優(yōu)點(diǎn):電路功能原理清晰,各項(xiàng)功能均達(dá)到了要求,顯示準(zhǔn)確,反應(yīng)靈敏,無競爭冒險(xiǎn)現(xiàn)象,基本滿足了普通競賽的搶答要求。缺點(diǎn):如果長按住

13、按鈕不放,主持人清零后將能獲得搶答權(quán),且由于編碼器電路是優(yōu)先編碼器,所以如果兩人搶答時(shí)間間隔在1ms以內(nèi),將出現(xiàn)編號(hào)靠前的選手獲得搶答權(quán)的情況。改進(jìn):可以更改促發(fā)器的類型,如使用jk觸發(fā)器代替,則長按無效,或者在搶答端添加一個(gè)發(fā)光二極管,當(dāng)有人作弊,二極管就會(huì)亮,從而阻止選手長按按鈕的缺陷。心得體會(huì):通過這次課程設(shè)計(jì),我對(duì)于數(shù)字電路知識(shí)有了更深的了解,尤其是對(duì)數(shù)字邏輯芯片的性能和使用方面的知識(shí)有了進(jìn)一步的研究。同時(shí)實(shí)物的制作也提升了我的動(dòng)手能力,實(shí)踐能力得到了一定的鍛煉,加深了我對(duì)數(shù)字電路設(shè)計(jì)方面的興趣。理論與實(shí)踐得到了很好的結(jié)合。一,搶答器介紹:搶答器適用于各類知識(shí)競賽,文娛綜藝節(jié)目,尤其是

14、各類知識(shí)競賽,除了了可以把各搶答組號(hào),違例組號(hào),搶答規(guī)定時(shí)限,大體時(shí)間倒計(jì)時(shí)等在儀器等在儀器面板上顯示外,還可以接大屏幕顯示屏顯給觀眾,既可以活躍現(xiàn)場氣氛,又便于監(jiān)督,做到公平競爭。例如:三星智力快車等大家耳熟能詳?shù)墓?jié)目。二,功能要求:(1) 本例中的搶答器最多可供4名參賽選手使用,編號(hào)為14號(hào),各隊(duì)分別用一個(gè)按鈕(分別為S1S4)控制,并設(shè)置一個(gè)由主持人控制的系統(tǒng)清零,加分控制開關(guān)S,倒計(jì)時(shí)開關(guān)。(2) 搶答器具有數(shù)據(jù)鎖存功能,并將鎖存數(shù)據(jù)用LED數(shù)碼管顯示出來,同時(shí)蜂鳴器發(fā)出聲響,主持人清零后,聲音提示停止。(3) 搶答器先后的分辨率為1ms.(4) 開關(guān)S作為清零及搶答器控制開關(guān),當(dāng)開關(guān)

15、S被按下時(shí),搶答電路清零,松開后則允許搶答。輸入搶答信號(hào)由搶答按鈕開關(guān)S1S4實(shí)現(xiàn)。(5) 有搶答器信號(hào)輸入(開關(guān)S1S4中任意一個(gè)開關(guān)被按下)時(shí),顯示出對(duì)應(yīng)的組別號(hào)碼,此時(shí)再按下其他任何一個(gè)開關(guān)無效,指示燈依舊“保持”第一開關(guān)按下時(shí)所對(duì)應(yīng)的狀態(tài)不變。(6) 加分電路由主持人控制,每次加分為一分,如果一人答錯(cuò),則由剩下的人再搶答,直至回答正確為止,倒計(jì)時(shí)電路是20秒倒計(jì)時(shí)電路。三,總電路:四,使用說明:在總電路中,為了方便看圖,我只畫出了一號(hào)的號(hào)碼顯示電路,計(jì)分電路,聲音發(fā)生電路。二號(hào),三號(hào),四號(hào),可以同理畫出。KeyA, KeyB, KeyC, KeyD分別表示四個(gè)選手臺(tái)上的搶答按鈕,Key

16、E表示由主持人控制的清零按鈕。X9為號(hào)碼顯示電路,X6為聲音發(fā)生電路。在X1的下面是計(jì)分電路,四個(gè)按鈕也是由主持人控制的,每按下一次加一分。最下面是倒計(jì)時(shí)電路。游戲規(guī)則是:主持人說出題目后,按下倒計(jì)時(shí)電路,四位選手搶答,當(dāng)某位選手按下按鈕,其前面的燈亮起,并發(fā)出報(bào)警聲,松開開關(guān)報(bào)警聲停止,但燈繼續(xù)亮著。選手要在規(guī)定時(shí)間內(nèi)回答出答案,當(dāng)回答完畢后,主持人按下KeyE,清零,燈滅。五,各部分電路具體分析:A:20秒倒計(jì)時(shí)電路本電路用二片74169可逆計(jì)數(shù)器級(jí)聯(lián)而成,通過ABCD引腳可以設(shè)定幾秒計(jì)時(shí),再通過數(shù)碼顯示管顯示出數(shù)字。當(dāng)QA,QB,QC,QD都為0時(shí),計(jì)數(shù)器重置再次進(jìn)行計(jì)數(shù)。B:聲音發(fā)生電

17、路當(dāng)開關(guān)不閉合時(shí),555電路不起振,沒有矩形波產(chǎn)生,揚(yáng)聲器不發(fā)聲;當(dāng)開關(guān)閉合時(shí),555電路RST端口處于高電平,555電路起振,產(chǎn)生矩形波,揚(yáng)聲器發(fā)聲,修改U11的頻率可以改變矩形波的頻率,聽到的聲音會(huì)不一樣。C:四位搶答器數(shù)顯搶答器由觸發(fā)器,顯示譯碼器,清零電路等組成,用二級(jí)管顯示搶答器燈的亮滅,有人搶答后控制D觸發(fā)器時(shí)鐘信號(hào)自動(dòng)閉鎖其他人的信號(hào)傳送,使其他組再按開關(guān)時(shí)失去作用。不同的組對(duì)應(yīng)燈的顏色,按下按鈕則對(duì)應(yīng)的燈亮起。D:選手號(hào)碼顯示電路74192的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)。E:選手計(jì)分電路用二片74161實(shí)現(xiàn)從099的計(jì)數(shù)功能。六:問題做課程設(shè)計(jì)時(shí),對(duì)芯片的功能大多忘了,因?yàn)榉至撕枚嗄?/p>

18、塊,單個(gè)模塊仿真時(shí)沒問題,但是放在一起仿真時(shí)就有問題了,就說那個(gè)倒計(jì)時(shí)電路,在一起仿真時(shí),開關(guān)閉合后,數(shù)字顯示不能自動(dòng)倒計(jì)時(shí),查了書,自己摸索,然后發(fā)現(xiàn)這個(gè)跟頻率有關(guān),頻率高是計(jì)數(shù)器能自動(dòng)計(jì)數(shù),當(dāng)頻率低時(shí),只有當(dāng)開關(guān)閉合一次,數(shù)字才跳轉(zhuǎn)一次。七:設(shè)計(jì)感想這次課程設(shè)計(jì)歷時(shí)一個(gè)月,通過運(yùn)用EDA設(shè)計(jì)四路搶答器程設(shè)計(jì),發(fā)現(xiàn)自己的很多不足,自己知識(shí)的很多漏洞,看到了自己的實(shí)踐經(jīng)驗(yàn)還是比較缺乏,理論聯(lián)系實(shí)際的能力還急需提高。在這個(gè)過程中,我也曾經(jīng)因?yàn)閷?shí)踐經(jīng)驗(yàn)的缺乏失落過,也曾經(jīng)仿真成功而熱情高漲。生活就是這樣,汗水預(yù)示著結(jié)果也見證著收獲。勞動(dòng)是人類生存生活永恒不變的話題。雖然這只是一次的極簡單的課程制作

19、(四路搶答器),可是平心而論,也耗費(fèi)了我不少的時(shí)間和精力,通過這次課程設(shè)計(jì),我想說:為完成這次課程設(shè)計(jì)我確實(shí)很辛苦,但苦中仍有樂。當(dāng)我遇到不會(huì)的時(shí)候就查書,詢問同學(xué),一起討論,最終把問題解決。這個(gè)是最重要的。當(dāng)我制作成功的時(shí)候,當(dāng)我連好線,按下按鈕,LED亮了起來,喇叭響起的是我一生以來最好聽的聲音,我的心中就不免興奮,不免激動(dòng)。以前種種艱辛這時(shí)就變成了最甜美的回憶! 對(duì)我而言,知識(shí)上的收獲重要,精神上的豐收更加可喜。讓我知道了學(xué)無止境的道理。我們每一個(gè)人永遠(yuǎn)不能滿足于現(xiàn)有的成就,人生就像在爬山,一座山峰的后面還有更高的山峰在等著你。挫折是一份財(cái)富,經(jīng)歷是一份擁有。這次課程設(shè)計(jì)必將成為我人生旅

20、途上一個(gè)非常美好的回憶!四人智力搶答電路一、設(shè)計(jì)任務(wù)與要求1.設(shè)計(jì)任務(wù)設(shè)計(jì)一臺(tái)可供4名選手參加比賽的智力競賽搶答器。 用數(shù)字顯示搶答倒計(jì)時(shí)間,由“9”倒計(jì)到“0”時(shí),無人搶答,蜂鳴器鳴響。選手搶答時(shí),數(shù)碼顯示選手組號(hào),同時(shí)蜂鳴器鳴響,倒計(jì)時(shí)停止。2.設(shè)計(jì)要求(1)4名選手編號(hào)為:1,2,3,4。各有一個(gè)搶答按鈕,按鈕的編號(hào)與選手的編號(hào)對(duì)應(yīng),也分別為1,2,3,4。(2)給主持人設(shè)置一個(gè)控制按鈕,用來控制系統(tǒng)清零(搶答顯示數(shù)碼管滅燈)和搶答的開始。(3)搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開始后,若有選手按動(dòng)搶答按鈕,該選手編號(hào)立即鎖存,并在搶答顯示器上顯示該編號(hào),同時(shí)揚(yáng)聲器給出音響提示,封鎖輸

21、入編碼電路,禁止其他選手搶答。搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清零為止。搶答器具有定時(shí)搶答的功能。(4)當(dāng)主持人按下開始按鈕后,定時(shí)器開始倒計(jì)時(shí),定時(shí)顯示器顯示倒計(jì)時(shí)間,若無人搶答,倒計(jì)時(shí)結(jié)束時(shí),揚(yáng)聲器響。參賽選手在設(shè)定時(shí)間(10秒)內(nèi)搶答有效,搶答成功,揚(yáng)聲器響,同時(shí)定時(shí)器停止倒計(jì)時(shí),搶答顯示器上顯示選手的編號(hào),定時(shí)顯示器上顯示剩余搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。(5)如果搶答定時(shí)已到,卻沒有選手搶答時(shí),本次搶答無效。系統(tǒng)揚(yáng)聲器報(bào)警,并封鎖輸入編碼電路,禁止選手超時(shí)后搶答,時(shí)間顯示器顯示0。二、總體方案1.工作原理:本電路圖是用D觸發(fā)器和與非門組成的,555電路提供CP脈沖,主要提供給倒計(jì)時(shí)的脈沖。1,2,3,4按鈕為搶答者按鈕,Space按鈕為主持人復(fù)位按鈕。當(dāng)沒有人搶答時(shí),按鈕1,2,3,4均為高電平,這時(shí)芯片雖然有連續(xù)脈沖輸入,但74LS373的輸出端Q1-Q4均為1,發(fā)光二極管不亮,蜂鳴器輸入端為高電平,所以發(fā)聲。當(dāng)有人搶答時(shí),例如1鍵被按下時(shí),在CP脈沖作用下,Q1立即變?yōu)?,發(fā)光二極管被點(diǎn)亮,同時(shí)蜂鳴器發(fā)聲,在經(jīng)反向后,控制脈沖不能再作用到觸發(fā)器,即使其他搶答者按下按鈕也將不起作用。倒計(jì)時(shí)結(jié)束時(shí),在沒人回答的情況下,74LS192芯片通過反饋給

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論