論文初稿王默秋修閱0515_第1頁
論文初稿王默秋修閱0515_第2頁
論文初稿王默秋修閱0515_第3頁
論文初稿王默秋修閱0515_第4頁
論文初稿王默秋修閱0515_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、目 錄1 緒論21.1 超聲波測距發(fā)展綜述22 超聲波測距原理及構(gòu)想42.1 超聲波傳感器介紹42.2 超聲波傳感器的特性52.3 超聲波測距的原理62.4 系統(tǒng)設(shè)計原理72.5 系統(tǒng)主要參數(shù)83 超聲波測距系統(tǒng)方案設(shè)計93.1 發(fā)射與接收電路的設(shè)計方案93.2 顯示報警單元方案設(shè)計103.3 單片機復(fù)位電路123.4 時鐘電路123.5 74HC04N芯片介紹133.6 探頭介紹134 系統(tǒng)軟件結(jié)構(gòu)144.1 信號控制144.2 數(shù)據(jù)存儲144.3 信號處理144.4 數(shù)據(jù)傳輸與顯示145 誤差產(chǎn)生的原因分析及改進對策165.1 誤差產(chǎn)生原因分析165.2 針對誤差產(chǎn)生原因的系統(tǒng)改進方案17

2、6 總結(jié)177 致謝18參考文獻:18基于單片機的超聲波測距功能設(shè)計王默秋(學號:20081116620)(物理與電子信息學院 08級電子信息工程班,內(nèi)蒙古 呼和浩特 010022)指導教師:張麗娜摘要:論設(shè)計方案,并對系統(tǒng)各個單元的原理進行了介紹。對組成的各系統(tǒng)電路的芯片進行了介紹,并闡述了它們的工作原理。此系文介紹了一種基于單片機的超聲波汽車防撞測距報警系統(tǒng),此系統(tǒng)利用AT89S52單片機作為主控制器,結(jié)合超聲波測距原理,來實現(xiàn)智能汽車防撞測距報警功能,并進行了系統(tǒng)硬件和軟件的設(shè)計。通過發(fā)射接收電路得出統(tǒng)具有結(jié)構(gòu)簡單,精度高,使用方便等特點。關(guān)鍵詞:單片機;超聲波;測距 ; 報警中圖分類號

3、: TN91 文獻標識碼: B1 緒論隨著社會經(jīng)濟的發(fā)展,交通運輸業(yè)日益興旺,汽車的數(shù)量在大副攀升。交通擁擠狀況也日趨嚴重,撞車事件屢屢發(fā)生,造成了不可避免的人身傷亡和經(jīng)濟損失,針對這種情況,設(shè)計一種響應(yīng)快,可靠性高且較為經(jīng)濟的汽車防撞報警系統(tǒng)勢在必行,超聲波測距法是最常見的一種距離測距方法,應(yīng)用于汽車的前后左右防撞的近距離,低速狀況,以及在汽車防撞報警系統(tǒng)中,超聲波作為一種特殊的聲波,同樣具有聲波傳輸?shù)幕疚锢硖匦哉凵?,反射,干涉,衍射,散射。汽車防撞報警器將單片機的實時控制及數(shù)據(jù)處理功能,與超聲波的測距技術(shù)、傳感器技術(shù)相結(jié)合,通過數(shù)顯裝置顯示距離,并由發(fā)聲電路根據(jù)距離遠近情況發(fā)出警告聲。五

4、十年代,我國開始從國外引進超聲波儀器,多是笨重的電子管式儀器。如英國的 UCT-2 超聲波檢測儀,重達 24Kg,各單位積極開展試驗研究工作,在一些工程檢測中取得了較好的效果。五十年代末六十年代初,國內(nèi)科研單位進口了波蘭產(chǎn)超聲儀,并進行仿制生產(chǎn)。隨后,上海同濟大學研制出 CTS-10 型非金屬超聲檢測儀,也是電子管式,儀器重約20Kg。該儀器性能穩(wěn)定,波形清晰。但當時這種儀器只有個別科研單位使用,建工部門使用不多。直至七十年代中期,因無損檢測技術(shù)仍處于試驗階段,未推廣普及,所以儀器沒有多大發(fā)展,仍使用電子管式的 UCT-2,CTS-10 型儀器。八十年代后期,由于計算機技術(shù)和高速器件的不斷發(fā)展

5、,使超聲波信號的數(shù)字化采集和分析成為可能。目前國內(nèi)也相繼出現(xiàn)了各類數(shù)字化超聲波檢測設(shè)備,并已成為超聲波檢測的發(fā)展方向。廈門大學的某位學者研究了一種回波輪廓分析法。該方法在測距中通過兩次探測求取回波包絡(luò)曲線來得到回波的起點,通過這樣處理后超聲波傳播時間的精度得到了很大的提高。意大利的Carullo等人介紹了一種自適應(yīng)系統(tǒng),采用特殊的發(fā)射波形來獲得好的回波包絡(luò),同時采用對環(huán)境噪聲進行估測,設(shè)置一定的回波開平電路,且采用自動增益的控制放大器,通過這些措施來提高超聲波的探測精度。目前國內(nèi)外在超聲波檢測領(lǐng)域都向著數(shù)字化方向發(fā)展,數(shù)字式超聲波檢測儀器的發(fā)展速度很快。國內(nèi)近幾年也相繼出現(xiàn)了許多數(shù)字式超聲波儀

6、器和分析系統(tǒng)。國際上對超聲波檢測數(shù)字化技術(shù)的研究非常重視,國外生產(chǎn)類似產(chǎn)品和研究的公司有美國的泛美(PANAMETRICS)公司、METEC公司,加拿大的R/D TECH公司,德國的K-K公司、法國的SOFRATEST公司和西班牙的TECNATOM公司等等,上述這些公司生產(chǎn)的超聲波檢測采集、分析和成像處理系統(tǒng)的技術(shù)水平較高,在世界上處于領(lǐng)先水平。隨著檢測技術(shù)研究的不斷深入,對超聲檢測儀器的功能要求越來越高,單數(shù)碼顯示的超聲檢測儀測讀會帶來較大的測試誤差。進一步要求以后生產(chǎn)的超聲儀能夠具有雙顯及內(nèi)帶有單板機的微處理功能。隨后具有檢測,記錄,存儲,數(shù)據(jù)處理與分析等多項功能的智能化檢測分析儀相繼研制

7、成功。超聲儀研制呈現(xiàn)一派繁榮景象。其中,煤炭科學研究院研制的 2000A 型超聲分析檢測儀,與國內(nèi)同類產(chǎn)品相比,設(shè)計新穎合理,功能齊全,在儀器設(shè)計上有重大突破和創(chuàng)新,達到了國際先進水平。目前,計算機市場價格大幅度下降,采用非一體化超聲波檢測儀器,計算機可發(fā)揮它一機多用的各種功能,實際上是最大的節(jié)約。過去那種全功能的儀器設(shè)置,還不如單獨的超聲儀,計算機可充分發(fā)揮各自特點。高智能化檢測儀器只能滿足檢測條件,使用環(huán)境,重復(fù)性測試內(nèi)容等基本情況一樣,才可充分發(fā)揮其特有功能。儀器設(shè)計也應(yīng)從實際情況出發(fā),才能滿足用戶的要求。綜上所述,我國超聲波儀器的研制與生產(chǎn),有較大發(fā)展,有的型號已超過國外同類儀器水平。

8、2 超聲波測距原理及構(gòu)想 2.1 超聲波傳感器介紹超聲波由于其指向性強、能量消耗緩慢、傳播距離較遠等優(yōu)點,而經(jīng)常用于距離的測量,如測距儀和物位測量儀等都可以通過超聲波來實現(xiàn)。超聲波測距主要應(yīng)用于倒車雷達、建筑施工工地以及一些工業(yè)現(xiàn)場,例如液位、井深、管道長度等場合。超聲傳感器是一種將其他形式的能轉(zhuǎn)變?yōu)樗桀l率的超聲能或是把超聲能轉(zhuǎn)變?yōu)橥l率的其他形式的能的器件。目前常用的超聲傳感器有兩大類,即電聲型與流體動力型。電聲型主要有:1 壓電傳感器;2 磁致伸縮傳感器;3 靜電傳感器。流體動力型中包括有氣體與液體兩種類型的哨笛。由于工作頻率與應(yīng)用目的不同,超聲傳感器的結(jié)構(gòu)形式是多種多樣的,并且名稱也有

9、不同,例如在超聲檢測和診斷中習慣上都把超聲傳感器稱作探頭,而工業(yè)中采用的流體動力型傳感器稱為“哨”或“笛”。傳感器的主要組成部分是壓電晶片。當壓電晶片受發(fā)射電脈沖激勵后產(chǎn)生振動,即可發(fā)射聲脈沖,是逆壓電效應(yīng)。當超聲波作用于晶片時,晶片受迫振動引起的形變可轉(zhuǎn)換成相應(yīng)的電信號,是正壓電效應(yīng)。前者用于超聲波的發(fā)射,后者即為超聲波的接收。超聲波傳感器一般采用雙壓電陶瓷晶片制成。壓電式超聲波傳感器結(jié)構(gòu)如圖2-1所示:圖2.1壓電式超聲波傳感器結(jié)構(gòu)圖壓電陶瓷晶片有一個固定的諧振頻率,即中心頻率 f0。發(fā)射超聲波時,加在其上面的交變電壓的頻率要與它的固有諧振頻率一致。這樣,超聲傳感器才有較高的靈敏度。當所用

10、壓電材料不變時,改變壓電陶瓷晶片的幾何尺寸,就可非常方便的改變其固有諧振頻率。利用這一特性可制成各種頻率的超聲傳感器。超聲波傳感器的內(nèi)部結(jié)構(gòu)由壓電陶瓷晶片、錐形輻射喇叭、底座、引線、金屬殼及金屬網(wǎng)構(gòu)成,其中,壓電陶瓷晶片是傳感器的核心,錐形輻射喇叭使發(fā)射和接收超聲波能量集中,并使傳感器有一定的指向角,金屬殼可防止外界力量對壓電陶瓷晶片及錐形輻射喇叭的損壞。金屬網(wǎng)也是起保護作用的,但不影響發(fā)射與接收超聲波。2.2 超聲波傳感器的特性超聲波傳感器的基本特性有頻率特性和指向特性,這里以TCT40-16T/R發(fā)射型超聲波傳感器為例進行說明。2.2.1 頻率特性圖2.2超聲發(fā)射傳感器頻率特性圖 2.2是

11、超聲波發(fā)射傳感器的頻率特性曲線。其中,f040KHz 為超聲發(fā)射傳感器的中心頻率,在 f0處,超聲發(fā)射傳感器所產(chǎn)生的超聲機械波最強,也就是說在 f0處所產(chǎn)生的超聲聲壓能級最高。而在 f0兩側(cè),聲壓能級迅速衰減。因此,超聲波發(fā)射傳感器一定要使用非常接近中心頻率 f0的交流電壓來激勵。另外,超聲波接收傳感器的頻率特性與發(fā)射傳感器的頻率特性類似。曲線在 f0處曲線最尖銳,輸出電信號的幅度最大,即在 f0處接收靈敏度最高。因此,超聲波接收傳感器具有很好的頻率選擇特性。超聲波接收傳感器的頻率特性曲線和輸出端外接電阻R 也有很大關(guān)系,如果 R 很大,頻率特性是尖銳共振的,并且在這個共振頻率上靈敏度很高。如

12、果 R 較小,頻率特性變得光滑而具有較寬得帶寬,同時靈敏度也隨之降低。并且最大靈敏度向稍低的頻率移動。因此,超聲接收傳感器應(yīng)與輸入阻抗高的前置放大器配合使用,才能有較高得接收靈敏度。2.2.2 指向特性實際的超聲波傳感器中的壓電晶片是一個小圓片,可以把表面上每個點看成一個振蕩源,輻射出一個半球面波(子波),這些子波沒有指向性。但離開超聲傳感器的空間某一點的聲壓是這些子波迭加的結(jié)果(衍射),卻有指向性。2.3 超聲波測距的原理超聲測距從原理上可分為共振式、脈沖反射式兩種。由于應(yīng)用要求限定,在這里使用脈沖反射式,即利用超聲的反射特性。超聲波測距原理是通過超聲波發(fā)射傳感器向某一方向發(fā)射超聲波,在發(fā)射

13、時刻的同時開始計時,超聲波在空氣中傳播,途中碰到障礙物就立即返回來,超聲波接收器收到反射波就停止計時。常溫下超聲波在空氣中的傳播速度為 C=340m/s,根據(jù)計時器記錄的時間 t,就可以計算出發(fā)射點距障礙物的距離(S),即:S=C*t/2=C*t0 其中,t0就是所謂的渡越時間??梢钥闯鲋饕糠钟校?(1) 供應(yīng)電能的脈沖發(fā)生器(發(fā)射電路);(2) 轉(zhuǎn)換電能為聲能,且將聲能透射到介質(zhì)中的發(fā)射傳感器;(3) 接收反射聲能(回波)和轉(zhuǎn)換聲能為電信號的接收傳感器;(4) 接收放大器,可以使微弱的回聲放大到一定幅度,并使回聲激發(fā)記錄設(shè)備;(5) 記錄/控制設(shè)備,通常控制發(fā)射到傳感器中的電能,并控制聲能

14、脈沖發(fā)射到記錄回波的時間,存儲所要求的數(shù)據(jù),并將時間間隔轉(zhuǎn)換成距離。在超聲波測量系統(tǒng)中,頻率取得太低,外界的雜音干擾較多;頻率取得太高,在傳播的過程中衰減較大。故在超聲波測量中,常使用 40KHz 的超聲波。目前超聲波測量的距離一般為幾米到幾十米。由于超聲波發(fā)射與接收器件具有固有的頻率特性,具有很高的抗干擾性能。距離測量系統(tǒng)常用的頻率范圍為 25KHz300KHz 的脈沖壓力波,發(fā)射和接收的傳感器有時共用一個,或者兩個是分開使用的。發(fā)射電路一般由振蕩和功放兩部分組成,負責向傳感器輸出一個有一定寬度的高壓脈沖串,并由傳感器轉(zhuǎn)換成聲能發(fā)射出去;接收放大器用于放大回聲信號以便記錄,同時為了使它能接收

15、具有一定頻帶寬度的短脈沖信號,接收放大器要有足夠的頻帶寬度;置避開強大記錄/控制部分啟動或關(guān)閉發(fā)射電路并記錄發(fā)射的瞬時及接收的瞬時,并將時差換算成距離讀數(shù)并加以顯示或記錄。2.4 系統(tǒng)設(shè)計原理系統(tǒng)結(jié)構(gòu)如圖 2.3所示:電子市場上常見的超聲探頭是收發(fā)分體式,一般頻率為 40KHz。如果需要更高頻率的超聲探頭,比如幾百赫茲或者幾兆赫茲的頻率,就需要到專業(yè)經(jīng)營超聲產(chǎn)品的廠商去購買或者定制。鑒于有限的條件,擬選用的探頭是 40KHz 的超聲傳感器,有一支接收傳感器TCT40-16R和一支發(fā)射傳感器TCT40-16T 。 LED顯示驅(qū)動電路AT89S52復(fù)位電路超聲波發(fā)射時鐘電路超聲波接收報警圖2.3

16、超聲波測距硬件電路圖電路頻率的選擇應(yīng)該滿足發(fā)射傳感器的固有頻率 40KHz,這樣才能使其工作在諧振頻率,達到最優(yōu)的特性。發(fā)射電壓從理論上說是越高越好,因為對同一支發(fā)射傳感器而言,電壓越高,發(fā)射的超聲功率就越大,這樣能夠在接收傳感器上接收的回波功率就比較大,對于接收電路的設(shè)計就相對簡單一些。但是,每一支實際的發(fā)射傳感器有其工作電壓的極限值,即當工作電壓超過了這個極限值之后,會對傳感器的內(nèi)部電路造成不可回復(fù)的損害。因此,工作電壓不能超過這個極限值。同時,發(fā)射電路中的阻尼電阻決定了電路的阻尼情況。通常采用改變阻尼電阻的方法來改變發(fā)射強度。電阻大時阻尼小,發(fā)射強度大,儀器分辨率低,適宜于探測厚度大,對

17、分辨力要求不高的試件。電阻小時阻尼大,分辨率高,在探測近表面缺陷時或?qū)Ψ直媪τ休^高要求時應(yīng)予采用。發(fā)射部分的點脈沖電壓很高,但是由障礙物回波引起的壓電晶片產(chǎn)生的射頻電壓不過幾十毫伏,要對這樣小的信號進行處理就必須放大到一定的幅度。接收部分就是由二級放大電路,檢波電路及門限判別電路構(gòu)成的,其中包括雜波抑制電路。最終達到對回波進行放大檢測,產(chǎn)生一個單片機能夠識別的中斷信號作為回波到達的標志。但是由于超聲傳感器固有特性,即盲區(qū)的存在,對于回波的接收和處理造成了相當程度的影響。2.5 系統(tǒng)主要參數(shù)2.5.1 測距儀的工作頻率空氣中超聲波的衰減對頻率很敏感,要求合理選擇超聲波頻率,一般在 40KHz 左

18、右。太高頻率的超聲波在空氣中是無法傳播開去的。傳感器的工作頻率是測距系統(tǒng)的主要技術(shù)參數(shù),它直接影響超聲波的擴散和吸收損失,障礙物反射損失,背景噪聲,并直接決定傳感器的尺寸。工作頻率的確定主要基于以下幾點考慮:(1) 如果測距的能力要求很大,聲波傳播損失就相對增加,由于介質(zhì)對聲波的吸收與聲波頻率的平方成正比,為減小聲波的傳播損失,就必須降低工作頻率。(2) 工作頻率越高,對相同尺寸的換能器來說,傳感器的方向性越尖銳,測量障礙物復(fù)雜表面越準,而且波長短,尺寸分辨率高,“細節(jié)”容易辨識清楚,因此從測量復(fù)雜障礙物表面和測量精度來看,工作頻率要求提高。(3) 從傳感器設(shè)計角度看,工作頻率越低,傳感器尺寸

19、就越大,制造和安裝就越困難。綜上所述,由于本測距儀最大測量量程不大,因而選擇測距儀工作頻率在 40KHz。這樣傳感器方向性尖銳,且避開了噪聲,提高了信噪比;雖然傳播損失相對低頻有所增加,但不會給發(fā)射和接收帶來困難。2.5.2 發(fā)射脈沖寬度發(fā)射脈沖寬度決定了測距儀的測量盲區(qū),也影響測量精度,同時與信號的發(fā)射能量有關(guān)。根據(jù)資料,減小發(fā)射脈沖寬度,可以提高測量精度,減小測量盲區(qū),但同時也減小了發(fā)射能量,對接收回波不利。但是根據(jù)實際的經(jīng)驗,過寬的脈沖寬度會增加測量盲區(qū),對接收回波及比較電路都造成一定困難。本設(shè)計最終選定11us的發(fā)射脈沖寬度。2.5.3 測量盲區(qū)在以傳感器脈沖反射方式工作的情況下,電壓

20、很高的發(fā)射電脈沖在激勵傳感器的同時也進入接收部分。此時,在短時間內(nèi)放大器的放大倍數(shù)會降低,甚至沒有放大作用,這種現(xiàn)象稱為阻塞。不同的檢測儀阻塞程度不一樣。根據(jù)阻塞區(qū)內(nèi)的缺陷回波高度對缺陷進行定量評價會使結(jié)果偏低,有時甚至不能發(fā)現(xiàn)障礙物,這是需要注意的。由于發(fā)射聲脈沖自身有一定的寬度,加上放大器有阻塞問題,在靠近發(fā)射脈沖一段時間范圍內(nèi),所要求發(fā)現(xiàn)的缺陷往往不能被發(fā)現(xiàn),這段距離,稱為盲區(qū)。3 超聲波測距系統(tǒng)方案設(shè)計該汽車測距報警系統(tǒng)由超聲波發(fā)射與接收電路、單片機硬件接口電路、顯示報警電路組成,該系統(tǒng)的核心部分采用性能較好的AT89S52單片機。3.1 發(fā)射與接收電路的設(shè)計方案由AT89S52單片機

21、編程,執(zhí)行程序后P1.0 口產(chǎn)生40KHZ的脈沖信號,超聲波發(fā)射探頭TCT40-16T產(chǎn)生超聲波。接收頭采用和發(fā)射頭配對的TCT40-16R將超聲波調(diào)制脈沖變?yōu)榻蛔冸妷盒盘?,送入CX20106A中進行處理。CX20106A是帶有信號放大器,寬頻帶濾波器,檢波器。整形及滯后比較器的多功能芯片。當高電平越變?yōu)榈碗娖剑鳛橹袛嗾埱笮盘枺椭羻纹瑱C處理。在啟動發(fā)射電路的同時啟動單片機內(nèi)部的定時器T0,利用定時器的計數(shù)功能記錄超聲波發(fā)射的時間和收到反射波的時間。當收到超聲波反射波時,接收電路輸出端產(chǎn)生一個負跳變,在INTO或INT1端產(chǎn)生一個中斷請求信號,單片機響應(yīng)外部中斷請求,執(zhí)行外部中斷服務(wù)子程序,

22、讀取時間差,計算距離。發(fā)射電路電路圖如圖3.1所示: 圖3.1發(fā)射電路 發(fā)射電路原理:當單片機AT89S52,通過P1.0這個I/O口,發(fā)送一系列的脈沖,經(jīng)過74HC04從而使TCT40-16T這個激勵換能器發(fā)射出超聲波。接收電路如3.2所示 請放置清晰的圖 圖3.2 接收電路電路簡單實用,接收到的信號引入運算放大器,通過運算放大器兩級放大,增強接收信號,達到本電路適合的要求強度,比較適合本設(shè)計需要。3.2 顯示報警單元方案設(shè)計顯示報警單元是經(jīng)過超聲波發(fā)射接收電路及單片機AT89S52處理后把信號轉(zhuǎn)化為人為可以知覺的數(shù)字顯示和報警響應(yīng),以讓人們能夠直觀的覺察到測量的狀況,進一步避免事故發(fā)生。顯

23、示報警電路由顯示和報警兩部分電路組成,主要實現(xiàn)在出現(xiàn)緊急情況下的顯示報警功能。 3.2.1 系統(tǒng)顯示電路設(shè)計顯示器是一個典型的輸出設(shè)備,綜合課題的實際要求以及考慮單片機的接口資源,采用串行方式顯示的 LED 驅(qū)動輸出設(shè)備。由于全程顯示的距離范圍在 4 米之內(nèi),用 3 個 LED 數(shù)碼管表示距離的 cm數(shù)值。 由于本設(shè)計中顯示位數(shù)較少,選擇靜態(tài)顯示驅(qū)動,采用共陽顯示接法。顯示驅(qū)動,顯示電路如圖3.3所示: 圖 3.3 系統(tǒng)顯示電路3.2.2 系統(tǒng)報警電路設(shè)計圖3.4:系統(tǒng)報警電路 系統(tǒng)報警電路由一個三極管、一個電阻和一個喇叭組成。經(jīng)電阻采集信號,采用s8550三極管放大。報警電路如圖3-4所示。

24、當單片機AT89S52發(fā)射出超聲波的信號,即輸出一個高電平給這個I/O口,大約5V的電壓,同時單片機計數(shù)器T0開始計時。則信號經(jīng)過三極管S8550放大。使電流達到發(fā)射端的工作電流,從而發(fā)射出超聲波。當發(fā)射出去的超聲波遇到障礙物時會被反射回來,這時接收器便會將反射回來的超聲波接收,并轉(zhuǎn)換成電信號,經(jīng)過運算放大器的兩極放大,將信號送給CX20106A的輸入端,其7號輸出引腳會產(chǎn)生一個信號,使得單片機AT89S52產(chǎn)生一個中斷。這樣,計數(shù)器便停止計數(shù)。單片機把計得的時間差進行運算,根據(jù)公式來計算車與障礙物的距離,并把運算結(jié)果以十進制的方式送到七段LED顯示電路去顯示。如果距離小于0.3m,則單片機A

25、T89S52便給P3.7口一個信號,使得報警電路工作,實現(xiàn)報警。3.3 單片機復(fù)位電路(1)單片機AT89S52作為主控芯片,控制整個電路的運行。單片機外圍需要一個復(fù)位電路,復(fù)位電路的功能是:系統(tǒng)上電時提供復(fù)位信號,直至系統(tǒng)電源穩(wěn)定后,撤消復(fù)位信號。為可靠起見,電源穩(wěn)定后還要經(jīng)一定的延時才撤銷復(fù)位信號,以防電源開關(guān)或電源插頭分-合過程中引起的抖動而影響復(fù)位。該設(shè)計采用含有電阻的復(fù)位電路,復(fù)位電路可以有效的解決電源毛刺和電源緩慢下降(電池電壓不足)等引起的問題,在電源電壓瞬間下降時可以使電容迅速放電,一定寬度的電源毛刺也可令系統(tǒng)可靠復(fù)位。復(fù)位電路的設(shè)計圖如圖3.5所示: 圖3.5 復(fù)位電路(2)

26、AT89S52的RST引腳為復(fù)位引腳,只要在RST引腳上出現(xiàn)兩個機器周期以上的高電平,即可實現(xiàn)復(fù)位。復(fù)位通常有上電復(fù)位和按鍵復(fù)位兩種方法。本設(shè)計采用的是按鍵復(fù)位,當按下按鍵后,電容被短路,RST引腳就處于高電平,就可以達到復(fù)位的目的。3.4 時鐘電路時鐘電路用于產(chǎn)生單片機工作所需要的時鐘信號,單片機本身就是一個復(fù)雜的同步時序電路,為了保證同步工作方式的實現(xiàn),電路應(yīng)在惟一的時鐘信號控制下嚴格地按時序進行工作 。該時鐘電路由兩個電容和一個晶體振蕩器組成。X1是接外部晶體管的一個引腳。在單片機內(nèi)部,它是一個反相放大器的輸入端,這個放大器構(gòu)成了片內(nèi)振蕩器。輸出端為引腳X2,在芯片的外部通過這兩個引腳接

27、晶體振蕩器和微調(diào)電容,形成反饋電路,構(gòu)成一個穩(wěn)定的自激振蕩器。時鐘電路如圖3.6所示:圖3.6 時鐘電路電路中的C9和C10一般取30PF左右,而晶體振蕩器的頻率范圍通常是1.212MHz,而電路中采用12MHz,晶體振蕩器的頻率越高,振蕩頻率就越高。3.5 74HC04N芯片介紹74HC04是六反相器,既一個集成塊上有六個反相器.輸入為高電平,輸出就為低電平,輸入低電平,輸出就為高電平.74HC04N要比74LS04N驅(qū)動電流大.3.6 探頭介紹壓電陶瓷超聲波換能器(超聲波傳感器)體積小,靈敏度高、性能可靠、價格低廉,是遙控、遙測、報警等電子裝置最理想的電子器件、用此換能器構(gòu)成的超聲波遙控開

28、關(guān),可使家電產(chǎn)品、電子玩具加速更新?lián)Q代,提高市場競爭能力。型號TCT40-16TTCT40-16R結(jié)構(gòu)開放式開放式發(fā)射距離810米810米使用方式發(fā)射接收諧振頻率40KHZ±1KHZ40KHZ±1KHZ頻帶寬2KHZ±0.5KHZ2KHZ±0.5KHZ靈敏度70dB / V / ubar70dB / V / ubar外形尺寸16mm×22.5mm16mm×22.5mm溫度范圍20 + 60 20 + 60 相對濕度20 ± 5時達98%20 ± 5時達98%表4.2 傳感器特性參數(shù)4 系統(tǒng)軟件結(jié)構(gòu)在系統(tǒng)硬件構(gòu)架了超

29、聲波測距的基本功能之后,系統(tǒng)軟件所實現(xiàn)的功能主要是針對系統(tǒng)功能的實現(xiàn)及數(shù)據(jù)的處理和應(yīng)用。根據(jù)第二節(jié)所述系統(tǒng)硬件設(shè)計和所完成的功能,系統(tǒng)軟件需要實現(xiàn)以下功能:4.1 信號控制在系統(tǒng)硬件中,已經(jīng)完成了發(fā)射電路、接收電路、檢測電路、顯示電路、門限檢測的設(shè)計。在系統(tǒng)軟件中,要完成增益控制信號、門控信號、發(fā)射脈沖信號、遠近控制信號的時序及輸出。4.2 數(shù)據(jù)存儲為了得到發(fā)射信號與接收回波間的時間差,要讀出此刻計數(shù)器的計數(shù)值,然后存儲在 RAM 中,而且每次發(fā)射周期的開始,需要對計數(shù)器清零,以備后續(xù)處理。4.3 信號處理RAM 中存儲的計數(shù)值并不能作為距離值直接顯示輸出,因為計數(shù)值與實際的距離值之間轉(zhuǎn)換公式

30、為:S=0.5*V*T0.5*V*(Tr*N)其中,T 為發(fā)射信號到接收之間經(jīng)歷的時間,Tr 為方波信號作為計數(shù)脈沖時計數(shù)器的時間分辨率,N 為計數(shù)器的值。在這個部分中,信號處理包括計數(shù)值與距離值換算,二進制與十進制轉(zhuǎn)換。4.4 數(shù)據(jù)傳輸與顯示經(jīng)軟件處理得到的距離送顯示輸出,用三位 LED 表示。由于采用了單片機AT89S52并考慮整個系統(tǒng)的控制流程。由于距離值的得出及顯示是在中斷子程序中完成的,因此在初始化發(fā)射程序后進入中斷響應(yīng)的等待。在中斷響應(yīng)之后,原始數(shù)據(jù)經(jīng)計數(shù)值與距離值換算子程序,二進制與十進制轉(zhuǎn)換子程序后顯示輸出。整個系統(tǒng)軟件功能的實現(xiàn)可以分為主程序、中斷服務(wù)程序幾個主要部分。中斷程

31、序流程圖如圖4.5所示,主程序流程圖如圖4.6所示。定時器入口初始化判斷是否中斷40次發(fā)射標志置1否是發(fā)射標志置0返回圖4.3中斷程序流程圖開始初始化發(fā)射超聲波接收超聲波數(shù)據(jù)處理判斷距離是否小于30cm是報警否顯示 圖4.4主程序流程圖5 誤差產(chǎn)生的原因分析及改進對策5.1 誤差產(chǎn)生原因分析5.1.1 溫度對超聲波聲速的影響空氣中傳播的超聲波是由機械振動產(chǎn)生的縱波,由于氣體具有反抗壓縮和擴張的彈性模量,氣體反抗壓縮變化力的作用,實現(xiàn)超聲波在空氣中傳播。因此,超聲波的傳播速度受氣體的密度、溫度及氣體分子成份的影響即: (5-1)其中R為普適常量8.314Kg/mol,T為氣體溫度K(絕對溫度),

32、M為氣體分子量,r為氣體密度。由公式5-1可知,超聲聲速與空氣的溫度有密切關(guān)系。例如:20 時,T=293.15, CS=344.2 m/s;40時,T=313.15,CS=355.8 m/s;-20時,T253.15,CS=319.9 m/s;從上面的計算可以看出,溫度對超聲波在空氣中的傳播速度有明顯的影響。當需要精確確定超聲波傳播速度時,必須考慮溫度的影響。5.1.2 回波檢測對時間測量的影響超聲波從超聲傳感器發(fā)出,在空氣中傳播,遇到被測物反射后,再傳回超聲傳感器。整個過程,超聲波會有很大的衰減。其衰減遵循指數(shù)規(guī)律。設(shè)在距離超聲接收器處有被測物,則空氣中傳播的超聲波波動方程描述為:A=A(

33、x)cos(ax+kt) (5-2)其中A為超聲傳感器接收的振幅;A0 為超聲傳感器初始振幅;為衰減系數(shù);x 為超聲波傳播距離;w角頻率;k 為波數(shù)。衰減系數(shù)=b·f。其中b為空氣介質(zhì)常數(shù),f為超聲波頻率。由此可見,超聲波頻率越高,其衰減越快。同時超聲波頻率的過高會產(chǎn)生較多的副瓣,引起近場區(qū)的干涉。但是,超聲波頻率越高,指向性越強,這一點有利于距離測量。由于超聲回波隨距離的增加而變得十分微弱,所以在設(shè)計超聲接收電路時,要設(shè)計較大放大倍數(shù)和較好濾波特性的放大電路,使回波易于檢測。5.1.3 超聲傳感器所加脈沖電壓對測量范圍和精度的影響制作超聲傳感器的材料分為磁致伸縮材料和壓電材料兩種。

34、超聲測距常用壓電材料傳感器,例如TCT40 壓電超聲傳感器。超聲傳感器外加脈沖電壓的幅值會影響壓電轉(zhuǎn)換效率。當壓電材料不受外力時,其應(yīng)變S與外加電場強度E 的關(guān)系為: S=d·E (5-3) 其中d 為應(yīng)變電場常數(shù)。超聲傳感器外加的脈沖電壓影響壓電材料的電場強度,從而影響其應(yīng)變量和超聲轉(zhuǎn)換的效率,進而影響超聲波幅值。這些會直接影響超聲波的回波幅值。所以,為提高壓電轉(zhuǎn)換效率,提高超聲測距精度和范圍,應(yīng)盡量提高超聲傳感器外加脈沖電壓的幅值。5.2 針對誤差產(chǎn)生原因的系統(tǒng)改進方案在實際應(yīng)用中,為了方便處理,超聲波常調(diào)制成具有一定間隔的調(diào)制脈沖波信號。測距系統(tǒng)一般由超聲波發(fā)送、接收、時間計測

35、、微機控制和溫度測量五個部分組成。如何提高測量精度是超聲測距的關(guān)鍵技術(shù)。其提高測距精度的措施下:(1)合理選擇超聲波工作頻率、脈寬及脈沖發(fā)射周期(2)提高計時精度,減少時間量化誤差(3)補償溫度對傳播聲速的影響(4) 補償系統(tǒng)電路的時間延遲6 總結(jié)畢業(yè)設(shè)計是培養(yǎng)學生綜合運用所學知識,發(fā)現(xiàn),提出,分析和解決實際問題,鍛煉實踐能力的重要環(huán)節(jié),是對學生實際工作能力的具體訓練和考察過程.隨著科學技術(shù)發(fā)展的日新日異,單片機已經(jīng)成為當今計算機應(yīng)用中空前活躍的領(lǐng)域, 在生活中可以說得是無處不在。這次的畢業(yè)設(shè)計通過搜集單片機的相關(guān)資料和撰寫論文對我來說是一次非常難得的鍛煉機會,可以說已經(jīng)囊括了大學期間大部分的

36、知識,從選題到定稿,從理論到實踐可以把所學的專業(yè)認識充分運用起來解決具體的問題,不僅可以鞏固了以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識,能夠使所學知識融會貫通。對于我來說這不僅僅是一次畢業(yè)設(shè)計,更重要的是在學習的過程中提高了我學習的能力、解決問題的能力和實際工作的能力,這些技能和方法都會對將來的工作有很大的幫助。因此作為二十一世紀的大學來說掌握單片機的開發(fā)技術(shù)是十分重要的?;仡櫰鸫舜螁纹瑱C課程設(shè)計,至今我仍感慨頗多,可以說得是苦多于甜,但是可以學到很多很多的東西,通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起

37、來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固,對單片機匯編語言掌握得不好通過這次課程設(shè)計之后,一定把以前所學過的知識重新溫故。參考文獻:1 余錫存,曹國華單片機原理及接口技術(shù)(第2版) 西安電子科技大學出版社2007.92 華成英,童詩白.模擬電子技術(shù)基礎(chǔ) 第四版 北京高等教育出版社 2006.1 3 陳瑩.基于單片機的超聲波測距系統(tǒng).華中科技大學碩士學位論文 2004.4 4 邱平. 略論我國

38、非金屬超聲波檢測儀器的發(fā)展狀況.工程質(zhì)量1998.15 超聲波探傷編寫組編著.超聲波探傷.北京:電力工業(yè)出版社, 1980 年6 李鳴華,余水寶.單片機在超聲波料位測量中的應(yīng)用. 電子技術(shù)應(yīng)用,1998年7 李麗霞.單片機在超聲波測距中的應(yīng)用.電子技術(shù),2002 年第 6 期8 荀殿棟.數(shù)字電路設(shè)計實用手冊.北京:電子工業(yè)出版社, 2003 年9 戴曰章.基于AT89C51單片機的超聲波測距系統(tǒng).電氣時代,2005年第7期Microcontroller-based ultrasonic distance measurement functionWang Moqiu (Student ID: 2

39、0081116620)(Class of Electronic Information Engineering, Grade 2008, College of Physics and Electronic Information, Inner Mongolia Normal University, Hohhot, Inner Mongolia 010022)Director: Zhang linaAbstract:Paper describes a microcontroller-based ultrasonic ranging automotive anti-collision warnin

40、g system, this system uses AT89S52 microcontroller as the main controller, combined with ultrasonic distance measurement principle, to achieve the smart car crash ranging alarm, and make the system hardware and software design. Through a variety of transmitting and receiving circuit design compared

41、to arrive at the best design, and system the principle of each unit are described. Circuit composed of the various systems on a chip was introduced, and explained how they work. This system has a simple structure, high precision, easy to use and so on. Describes the system software architecture, pro

42、grammed to achieve system functionality.Key words: MCU ;Ultrasonic wave ;Distance;Alarm致 謝該畢業(yè)設(shè)計是在張麗娜老師的悉心指導下完成的,在她帶我畢業(yè)設(shè)計的這些日子里,從論文選題到撰寫,給了我很多幫助,以及在設(shè)計過程中,指出了設(shè)計中的缺點和不足,并督促我及時改正,學會了能夠透徹的分析問題和解決問題,使我受益非淺。在此感謝導師對我的幫助和大力的支持.同時要感謝我大學期間所有幫助過我的老師、同學,你們培養(yǎng)了我熱愛學習,勤學好問的能力,讓我學到不少的知識,在我遇到問題時對我的細心講解,以及對我生活上的幫助,為我今后

43、的工作和生活打下了基礎(chǔ).在此祝你們工作順利,身體健康.最后,也要感謝各位老師能抽出時間來對我的畢業(yè)設(shè)計進行評論,謝謝!附錄A 程序清單/*=基于51單片機的超聲波測距程序=*/#include <AT89X52.H>unsigned char code dispcode=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90;/0-9 共陽數(shù)碼管unsigned char dispbuf4=0,0,0,0;/ 0x76,0x3f,0x3f,0x4funsigned char temp4;unsigned char dispcount;un

44、signed char i;unsigned int x;unsigned long l,time;unsigned int count=0;unsigned char flag=0; /報警標示位/-定義管腳unsigned char testok;/*數(shù)碼管控制*/sbit W0=P20; /sbit W1=P21; /sbit W2=P22; /sbit W3=P23; /位選/*超聲波定義*/sbit FS=P35; /發(fā)射sbit LS=P37; /蜂鳴器控制端口定義,當距離小于100cm是蜂鳴器報警(距離越短蜂鳴器報警的頻率越高)sbit K1=P10;/ 指示燈端口定義sbit

45、LED1=P26;/距離大于100cm指示燈sbit LED2=P25;/距離在10050cm指示燈sbit LED3=P24;/距離小于50cm指示燈/bit flag;/*延時函數(shù)*/ void delay100us(void)/延時子函數(shù) unsigned char y,j; for(y=40;y>0;y-) for(j=248;j>0;j-);void baojing()/報警子程序if(flag=1)if(count>100)count=0;if(count<50)LS=0;elseLS=1;if(flag=0)LS=1;void csfs(void) /超聲波發(fā)射程序/unsigned char times=0;while(TF1=0) / TF1定時器1 溢出標志位 使用查詢法unsigned char j;for(j=12;j>0;j-); /延時??? FS

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論