基于單片機TC調(diào)試心得體會筆記_第1頁
基于單片機TC調(diào)試心得體會筆記_第2頁
基于單片機TC調(diào)試心得體會筆記_第3頁
基于單片機TC調(diào)試心得體會筆記_第4頁
基于單片機TC調(diào)試心得體會筆記_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、基于單片機TC35調(diào)試心得一、TC35的部分調(diào)試參數(shù)1、TC35的電流消耗指標(biāo): 1通話模式的峰值電流(TALK mode of peak),1.8A 2通話模式的典型電流(TALK mode),300mA 900MHz / 270mA 1800MHz 3空閑模式(IDLE mode)的消耗電流,10mA 4休眠模式(SLEEP mode)的消耗電流,3mA 5關(guān)機模式(Power Down mode)的消耗電流,50µA 2、關(guān)于LED燈顯示的狀態(tài) SYNC引腳可

2、以用來輸出一個同步信號(synchronization signal),也可以在應(yīng)用使來控制一個LED燈的輸出狀態(tài)。:SYNC端通過一個三極管或門電路來控制LED。一個簡單的電路接法是:SYNC端通過一電阻接到NPN三極管(如9013)的基極,射極直接接地,集電極通過一個接限流電阻接到LED的負(fù)端,LED的正端接VCC。LED的工作模式完全類同于同步信號,顯示的是TC35的工作狀態(tài): 1LED燈滅,表示TC35電源關(guān)閉,處于休眠、報警或單純的充電模式 2600 ms 亮 / 600ms 滅,表示未插入SIM卡,或者個人身份未登記/已注

3、銷,或者網(wǎng)絡(luò)正在搜尋中,或者正在進行用戶身份鑒定,或者網(wǎng)絡(luò)注冊正在進行中 375ms 亮 / 3s 滅,表示網(wǎng)絡(luò)注冊成功(控制通道和用戶交換信息完成),無來電 4LED燈亮,依據(jù)不同的呼叫類型:聲音呼叫,數(shù)據(jù)呼叫,在建立或者完畢時的狀態(tài)。 2、關(guān)于VDD端: TC35正常工作時,VDD引腳輸出信號的幅度(大約在開機后60ms產(chǎn)生):2.9V/70mA,可作為外部應(yīng)用。 空閑或者通話模式: VDDout = 2.9V ±3%  70mA Imax = 70m

4、A;電源關(guān)閉模式: VDDout = 0V。 3、關(guān)于VBATT+端: TC35的工作電壓輸入端VBATT+,電壓幅度為,Vtyp = 4.2V,最大電流Imax  2 A  GSM天線回波損耗(return loss) 6 dB。必須注意:最低工作電壓不能低于3.3V,否則電壓將會跌落,從而導(dǎo)致TC35停止工作。由于VBATT+引腳的峰值電流為 2A ,一個GSM發(fā)射脈沖(transmission burst)可能引起相當(dāng)大的電壓跌落

5、,此外由于外部電源的扁平柔性連線的內(nèi)在固有阻抗也可能損失一部分。因此,在任何時候,必須保證VBATT+ 的電壓不能低于 3.3V,而且電壓跌落差值不能超過400 mV。另外,為了保證TC35在發(fā)射脈沖區(qū)間的電壓跌落值處于最低值,采用盡可能短的連接模塊和ZIF座的扁平柔性FFC電纜,長度不得超過200mm,和低輸出阻抗(low-impedance)的電源。 4、關(guān)于POWER端: TC35的充電電壓正端POWER,如果通過外部的電源(如充電器)供電,應(yīng)保證Imax = 500 mA,Vin=5.5-8V。 5、關(guān)于/IGT(Igniti

6、on)端: TC35的觸發(fā)點火信號端/IGT, 用OC門或者一個簡單的開關(guān)拉低該端電平來開啟模塊,低電平有效。在空閑/通話/關(guān)機模式:Vout = 2.0V,Vlow,max = 0.45V  Iout = 10µA,tlow  100ms。對于點火信號IGT的處理,需要首先拉低該腳電平到地,并至少維持100ms。 注意,如果你通過充電器回路(接到POWER引腳)供電,或者通過電池供電(接到VBATT+引腳),那么IGT信號必須維持至少1秒! 6、關(guān)于PD(Power

7、0;down): TC35的關(guān)閉電源端/PD,關(guān)機信號脈沖,信號波形為|_| ,拉低到低電平,至少維持3.5秒方為有效。Vin,low,max = 0.45V  I = 0.1mA,可用OC門或者一個簡單的開關(guān)實現(xiàn),通過關(guān)機信號端可以關(guān)閉TC35。而在IGT引腳施加一個低電平脈沖信號可以重新開啟模塊及系統(tǒng)。另外,關(guān)機信號端/PD也可用來實現(xiàn)看門狗信號輸出:Vout,low = 0.35V  0.01mA,Vout,high = 2.30V  -0

8、.01mA,fout = 0.5-2.0Hz 7、啟動TC35的方式: TC35 可以通過以下方式激活,開始工作 1通過點火線IGT觸發(fā),進入正常工作狀態(tài) 2通過電源線,開始進入充電區(qū) 3通過RTC中斷,開始進入報警模式 8、TC35的開機操作時序 當(dāng)開始應(yīng)用,TC35的加電過程必須按照以下步驟進行: 1直到VBATT+腳的電平超過3.0V時方可對ignition線的信號電平變換。 2VBATT+腳的電平上升達到3.0V后維持10毫秒,方可拉低IGT線的信號電平。IGT線的電平跌落時間(下降時間)必須不超過1ms。 3另外,該IGT線的低電平信號必須維持最少100

9、ms。 4在IGT線信號轉(zhuǎn)換區(qū)間必須保證 VBATT+ 的電壓不能低于3.0V,否則模塊不能被激活。  二、單片機控制GSM模塊TC35的方法  介紹一種 采用GSM的短信功能,可以使某些控制達到“零距離"。由于短信息的費用低廉,可以取代傳統(tǒng)的無線遙控?,F(xiàn)在詳細(xì)介紹一種采用單片機(MCS51系列)控制TC35(廉價的GSM模塊)發(fā)送、接收GSM短信的方法。1、  TC35的控制簡介    TC35是Siemeils公司推出的新-代無線通信GSM模塊。自帶RS232通訊接口,可以方便地與PC機、單

10、片機連機通訊??梢钥焖佟踩?、可靠地實現(xiàn)系統(tǒng)方案中的數(shù)據(jù)、語音傳輸、短消息服務(wù)(Short Message Service)和傳真。TC35模塊的工作電壓為3.35.5V,可以工作在900MHz和1800MHz兩個頻段,所在頻段功耗分別為2w(900M)和1w(1800M)。    模塊有AT命令集接口,支持文本和PDU模式的短消息、第三組的二類傳真、以及2.4k,4.8k,9.6k的非透明模式。此外,該模塊還具有電話簿功能、多方通話,漫游檢測功能,常用工作模式有省電模式、IDLE、TALK等模式。通過獨特的40引腳的ZIF連接器,實現(xiàn)電源連接、指令、數(shù)據(jù)、語音信

11、號、及控制信號的雙向傳輸。通過ZIF連接器及50天線連接器,可分別連接SIM卡支架和天線。    TC35模塊主要由GSM基帶處理器、GSM射頻模塊、供電模塊(ASIC)、閃存、ZIF連接器、天線接口六部分組成。作為TC35的核心,基帶處理器主要處理GSM終端內(nèi)的語音、數(shù)據(jù)信號,并涵蓋了蜂窩射頻設(shè)備中的所有的模擬和數(shù)字功能。在不需要額外硬件電路的前提下,可支持FR、HR和EFR語音信道編碼。其它功能介紹可參見有關(guān)資料!2、AT指令的介紹TC35模塊的通訊全部采用AT+xxx完成。基本的AT指令見:/html/zonghejishu/2007/0514/2078.h

12、tml3、  TC35的連機方法    任何一個TC35模塊首次使用時,必須要測試其工作是否正常,由于其自帶RS232接口,所以我們可以用PC機的串口調(diào)試軟件進行調(diào)試。1、啟動串口調(diào)試軟件(見界面)   串口調(diào)試軟件有許多,可以使用任意一款軟件,也可以使用WINDOWS自帶的“超級終端"。設(shè)置波特率19.2k,這是TC35的默認(rèn)波特率,首次連機可以從240057.6k不斷測試,直到TC35有應(yīng)答。2、發(fā)送“AT"  AT 回車3、改變波特率“AT+IPS=XXXX"  TC35的默認(rèn)波

13、特率是19.2k,實際使用時,可以改成9600或38.4K,方法如下:  AT+IPR=9600 回車4、短信模式的設(shè)置  (GSM模塊的短信模式有2種。第1種是:TEXT模式;第2種是:PDU模式。PDU模式可以采用unicode編碼發(fā)送英文、漢字。但合成PDU碼比較復(fù)雜,而TEXT模式只能發(fā)送英文,但無須編碼。實際使用可以采用TEXT模式。設(shè)置如下:    AT+CMFG=1 回車5、短信模式簡介    SMS是由Etsi所制定的一個規(guī)范(GSM 03.40和GSM 03.38)。當(dāng)使用7-bits編碼

14、的時候它可以發(fā)送最多160個字符;8-bit編碼(最多140個字符)。通常無法直接通過手機顯示;通常被用來作為數(shù)據(jù)消息,例如:smart messaging中的圖片和鈴聲和OTA WAP設(shè)置。16-bit信息(最多70個字符)被用來顯示Unicode(UCS2)文本信息,可以被大多數(shù)的手機所顯示。一個以class 0開頭的16-bit的文本信息將在某些手機上作為Flash SMS顯示(閃爍的SMS和警告SMS)。    有兩種方式來發(fā)送和接收SMS信息:使用文本模式或者使用PDU(protocol description unit)模式。文本模式(可能某些手機不支

15、持)實際上也是一種PDU編碼的一種表現(xiàn)形式。在顯示SMS信息,可能使用不同的字符集和不同的編碼方式。6、短信讀取方法    AT+CMGR=X回車如果有短信息,TC35回應(yīng):AT+CMGR=1+CMGR:"REC UNREAD","133074965 48","04/08/17,22:24:32+02testOKOK短信息分析:“test OK"就是短信息內(nèi)容。短信息的存儲容量與Ic卡有關(guān),序號從1-N。REC UNREAD":代表短信息未讀過。REC READ"  

16、;  :已讀過。:接收的手機號碼。04/08/17,22:24:32+02":短信息發(fā)送的時間。無短信息,TC35回應(yīng):AT+CMGR=3+CMGR:0,07、短信的刪除方法    AT+CMGD=1回車8、短信的發(fā)送方法    短信息的發(fā)送分成兩步:    1:發(fā)送接收的手機號碼,等待應(yīng)答:“>"    AT+CMGS=回車(目的地址)    TC35回應(yīng):  輸入短信息的內(nèi)容(只能是英文):Tes

17、t 回車 4、單片機控制TC35的方法    上面介紹了用PC機控制TC35的方法,我們只需將PC機輸出的控制命令轉(zhuǎn)化成單片機輸出的指令即可。1、硬件連接  電路見下圖只需設(shè)計一個TTL轉(zhuǎn)RS232電平電路,連接到MCU的UART口,另一端直接連接到TC35。2、指令輸出的方法    單片機串口設(shè)置成模式1(9600,N,8,1),依次將AT+xxx以ASCII碼形式輸出到UART口;接收TC35的數(shù)據(jù)采用中斷方式。這里給出詳細(xì)的程序清單(Keil C51),不介紹編程的方法。程序見下:/AT指令的定義char code A

18、T_Tc35="AT+"    /連機char code Bps_Tc35="IPR=38400"  /波特率char code Text_Tc35="CMGF=1"    /文本模式char code Read_Tc35="CMGR="  /讀信息char code Erase_Tc35="CMGD="  /刪除信息char code Send_Tc35="CMGS="  /

19、發(fā)送信息char code Creg_Tc35="CREG?"    /注冊/模式設(shè)置void UART_Init(void)SCON=0x50;    /01010000b=>1模式scon,#11011000b;ES=l;/發(fā)送-個ASCIIvoid SendASC(unsigned char ASC)bit es;es=ES;ES=0;/關(guān)閉中斷TI=0;SBUF=ASC;while(!TI);TI=0;ES=es;)/發(fā)送命令到TC35void SendToTc35(unsigned char* p,

20、unsigned char Long)while(Long-)    SendASC(*p+);  /  通訊中斷接收程序void Rs485_Do(void) interrupt 4 using 1    if(RI=l)      RI="0"    RsBuqRsPoint+=SBUF;    if fRsPoint>=sizeof(RsBuf)     

21、   RsPoint="0"/FlagRs485=0;      /數(shù)據(jù)處理   / 發(fā)送AT連機命令char code AT_Code="OK"void Send_AT(void)unsigned char *p;while(1)    ClrRsBuf(RsBuf,sizeof(RsBuf);    SendToTC35(AT_Tc35,2);    /"AT"&

22、#160;   SendASC(OVER);/*等待應(yīng)答“ok"    ES="1"    /必須中斷    Delay(50);    P="strstr"(RsBuf,AT_Code);    if(p!=NULL) break;) /發(fā)送bps連機命令void Send_BPS(void) SendToTc35(AT_Tc35,3);  &#

23、160; /"AT+" SendToTc35(Bps_Tc35,sizeof(Bps_Tc35)-1);/"IPR=19200" SendASC(OVER);/設(shè)置文本void SetText(void)SendToTc35(AT_Tc35,3);    /"AT+"SendToTc35(Text_tc35,sizeof(Text_tc35)-1);/"IPR=19200"SendASC(OVER);Delay(100):/刪除短信息unsigned char Er

24、aseMsg(unsigned char index) unsigned char *p,i=20; SendToTc35(AT_Tc35,3);    /"AT+" SendToTc35(Erase_Tc35,sizeof(Erase_Tc35)-1);/"IPR=19200" SendASC(index); SendASC(OVER); ES=1; while(i-)    Delay(200);  &

25、#160; P="strstr"(RsBuf,AT_Code);    if(P!=NULL)    return 1;return 0;/讀取短信息char code Ask_No="+CMGR:0,0"char code ERROR="ERROR"char code Ask_Tc35="/"unsigned char ReadMsg(unsigned char index)unsigned char *p,i;unsigned char Buf40;S

26、endToTc35(AT_Tc35,3);    /"AT+"SendToTc35(Read_Tc35,sizeof(Read_Tc35)-1);SendASC(index);SendASC(OVER);ES=1;    /必須中斷Delay(600);/*-    ES="0":    SendToTc35(RsBuf,99);    ES="1"*/|p=strstr(RsBuf,E

27、RROR);if(P!=NULL)    Send_AT();return 0;p=strstr(RsBuf,Ask_No);/無信息if(p!=NULL)return 0;p=strstr(RsBuf,Ask_TC35);/20個字節(jié)后是MSGif(p=NULL) return 0;p=p+21;for(i=0;i<SIZEOF(BUF);I+)  Bufi=*p+; if(EraseMsg(index)=0) return 0;p=strcpy(RsBuf,Buf);  /放回RsBuf return 1;)/發(fā)送短信息ch

28、ar code SK=">"void SendMsgStart(void)unsigned char *p,i=10;SendToTc35(AT_Tc35,3);    /"AT+"SendToTc35(Send_Tc35,sizeof(Send_Tc35)-1);/"IPR=19200"SendASC(YinHao);SendToTc35(Mp1.Hand,sizeof(Mp1.Hand);    /"AT+"SendASC(YinHao);Sen

29、dASC(OVER);ES=1;while(i-)  Delay(100);  /Get">"  p="strstr"(RsBuf,SK);/">"  if(p!=NULL)      ClrRsBuf(RsBuf,sizeof(RsBuf);    Delay(150);  /Get">"    break;二、發(fā)送短信息和接收短信息(TEXT模式

30、)(以下內(nèi)容來自網(wǎng)絡(luò),作者聲明可以自由轉(zhuǎn)載,但必須注明出處)   適合人群:首次接觸G網(wǎng)模塊人員     凡是利用GSM模塊二次開發(fā)的,不管是用來做公話,還是GPRS通信,其中短消息基本都要使用的。本文大致介紹一下短消息相關(guān)的AT命令使用方法。 詳細(xì)的AT指令說明,可以參考 07.05,或者西門子MC35I的AT手冊,西門子手冊感覺還是很不錯的。     由于本文表達的錯誤給您帶來的物資損失和精神損傷,本人概不負(fù)責(zé)。 1、    短消息的格式 我所見到的模塊短消息基

31、本都提供2種格式,TEXT和PDU。文本模式相對來說比較簡單,特別適合傳輸那些字符什么的,對于老外來說,基本就發(fā)26個字母,簡直太方便了。PDU模式需要進行編碼,目前的漢字傳輸好多都采用PDU模式。由于本人對PDU和怎么發(fā)漢字基本一竅不通,這段就暫時忽略了。一般模塊默認(rèn)的都是PDU模式,你可以使用如下命令切換到TEXT模式: AT+CMGF=1 如果想再切換到PDU模式,使用: AT+CMGF=0 即可,以下短消息發(fā)送讀取都采用TEXT模式,因為PDU我不是很懂。 2、    怎樣給我發(fā)送一條短消息? 現(xiàn)在你手里有了G網(wǎng)模塊,但首先你要確保如下操作已經(jīng)完

32、成: 1)    模塊上電并且AT命令同你的PC串口通信順暢。 2)    SIM卡沒有欠費,并且已經(jīng)登陸GSM網(wǎng)絡(luò)(可以使用AT+CREG?查詢,如果返回1或者5表示正常)。 3)     AT+CMGF=1設(shè)置完畢。 有了以上的過程,那么你就可以給我發(fā)信息了,你要使用的AT命令是:AT+CMGS。 我的手機號是:(真實號碼)。 1)    從串口輸入:然后按回車鍵。 2)    這個

33、時候你可以看到有個大于號 >彈出,提示你可以輸入消息的內(nèi)容了。 假如你想發(fā)送“hello world”,直接在>后面輸入即可,輸入完了后按CTRLZ”,稍微等一下,你的信息也就發(fā)出去了,如果編程好像是0x1A(記不清了,你可以查下ASCII表)。 全部過程如下:你需要一直等到OK返回,才能確定是成功發(fā)出,中間的那個東西可以先不管。如果你輸入 “hello world”后,但又不想發(fā)了,按ESC可以取消發(fā)送,就不再介紹。 附: ASCII表3、    怎樣打開傳送回報? 手機上我們都可以找到一個菜單,可以

34、選擇傳送回報的功能,也就是說別人收到你的信息后,短消息中心會給你一個確認(rèn)信息,假如是發(fā)給心上人,這個功能尤其重要。 對于模塊我們沒有菜單可選,但我們有 AT+CSMP 這樣一條AT命令,在TEXT模式下,對于手機上的那個選項可以通過這條命令實現(xiàn),這個命令的具體含義我搞得不是很清楚,也懶得去研究,曾經(jīng)有個人告訴我按照下面設(shè)置后,傳送回報就可以回來: AT+CSMP=33    但即使你這樣設(shè)置后,別人收到后,傳送回報你可能還是看不到,你還需要設(shè)置另外一條AT命令:“AT+CNMI=2,1,1”,下面就會介紹到這個命令的用法。  對于PD

35、U模式, 控制傳送回報有很大差別。 4、    AT+CNMI的用法。 到目前為止,你至少還有如下的疑惑: 1)    來了新短信,我怎么知道? 2)    我擔(dān)心時間長了SIM卡會寫壞,F(xiàn)LASH也可能寫壞,或者我單片機很強短信不需要存儲,就要模塊直接給我,我該怎么辦? 3)    我要看傳送回報!   上面一切疑慮都可以從AT+CNMI中得到答案。 我手頭上有個西門子MC35的手冊,CNMI的幾個參數(shù)如下: AT

36、+CNMI =, 方括號表示參數(shù)是可選的,簡要說明下:   用來選擇來了新短信,提示信息是否需要從串口直接打出,一般取2。     用來選擇新短信的提示方式,取1的話,就比較簡單,比如“+CMTI: "SM",3”,告訴你新短信存在SIM卡里,序號是3,稍后告訴你怎樣讀取。取2的話,來了新短信就會不作存儲,直接從串口顯示出來。    用來選擇傳送回報,如果想看回報的話,一般取1(同AT+CSMP結(jié)合使用)。 其他參數(shù)不作說明。 這里提供3組參數(shù)可以供你使用: 1)&

37、#160;   AT+CNMI=2,1,1 這組參數(shù)可以提供新信息提示,以及傳送回報的功能 2)    AT+CNMI=2,2,1 這組參數(shù)來了新信息直接顯示到串口,不作存儲,仍然打開傳送回報。 3)    AT+CNMI=2,1 這組參數(shù),一般的模塊都會有新信息提示,不會打開回報功能(打開可能會干擾單片機處理)     以上僅供參考,不同廠家模塊實現(xiàn)形式以及支持參數(shù)可能會有不同,需要實際測試。5、    

38、怎樣讀取SIM卡或者手機內(nèi)部的信息? 比如我們收到這樣的信息:“+CMTI: "SM",3”,知道來了新信息,并且存儲在SIM卡,第3個位置區(qū)。我們怎么去讀取呢?    比較EASY,用AT+CMGR來讀取,如下: AT+CMGR=3,回車后,即可看到短信內(nèi)容,比較簡單,這里就不舉例了。 還有一個用來讀取短信的命令,AT+CMGL,可以列出手機內(nèi)部的全部AT命令, 比如: AT+CMGL=”ALL”,回車后可以返回當(dāng)前存儲區(qū)的所有短信,結(jié)果自己實踐即可。 CMGL還有另外3個參數(shù),可以參考手冊,并且PDU還有些不同,參數(shù)使用的是數(shù)字。

39、6、    怎樣選擇存儲區(qū)? 現(xiàn)在的模塊一般都提供23個存儲區(qū),SIM卡,手機(FLASH), SIM卡FLASH。 我們可以使用 AT+CPMS?進行選擇,關(guān)于CPMS的3個參數(shù),這里簡要介紹一下,比較容易搞混,并不是表示SIM卡或者手機分別有3個存儲區(qū)。 設(shè)置命令如下:AT+CPMS=,  Memory to be used when listing, reading and deleting messages:  Mem

40、ory to be used when writing and sending messages:  Received messages will be placed to this storage if routing to TE is not set.  上面是我為了省事直接從西門子手冊COPY的,需要仔細(xì)理解。組合著多試幾次就知道了。 7、  

41、;  怎樣刪除一條短信? 使用AT+CMGD,比如: AT+CMGD=1 將刪除內(nèi)部的第一條信息(注意模塊返回OK都是刪除成功,有些模塊會返回ERROR,其實表示當(dāng)前這個位置沒有短信息)。 AT+CMGD還有個全刪的功能,比如: AT+CMGD=1,4 將刪除存儲區(qū)內(nèi)部的全部短信息。(注意第二個參數(shù)還有3個,可以看手冊,并且并不是所有廠家模塊都支持全刪) 到這為此吧,已經(jīng)弄了2個小時了,我要去睡覺了,明天還要起早上班。 GPRS外置Modem一般僅是GPRS模塊SIM卡電源變換RS232接口及簡單的控制電路組成,嵌入式應(yīng)用最好直接用模塊,桌面應(yīng)用可以考慮

42、采用成品外“貓”,原裝進口價也就1千多,不少國內(nèi)小公司自己做的僅600800塊,但考慮到一般桌面用量都不大,還是用原裝的較好。俺老漢也曾推出過GSM/GPRS外貓,但對客戶還是一直推薦去正規(guī)代理處拿原裝貨。成品外貓分帶協(xié)議棧和不帶協(xié)議棧的兩類,由其內(nèi)封模塊的型號決定,外掛至PC時,因操作系統(tǒng)已包含完整的協(xié)議棧,故Modem是否有協(xié)議棧已并不重要,甚至對于自帶協(xié)議棧的型號還應(yīng)將其“屏蔽”,采用“直通”模式。    嵌入式應(yīng)用選模塊時,若僅使用SMS及語音的話,西門子的TC35i是不錯的選擇,若使用GPRS數(shù)據(jù)通訊的話,最好選帶協(xié)議棧的模塊,Simcom的S

43、IM100是目前最佳性價比的選擇,低端則可選BenQ的模塊如M22,高端可選西門子的TC45,另外Motorola、索愛、Wavecom等也有同類產(chǎn)品,但價格比Simcom的高。中興通訊可以提供目前唯一真正國產(chǎn)的GSM/GPRS/CDMA模塊,但技術(shù)支持和業(yè)界的口碑看來還有待改進。不帶協(xié)議棧的GPRS模塊可以選西門子的MC35i,但現(xiàn)在隨著自帶協(xié)議棧的型號越來越多且價格漸與普通GPRS模塊趨同,故已變得無多少實用價值了,采用帶協(xié)議棧的嵌入式操作系統(tǒng)的應(yīng)用中最適合采用此類模塊。     補充關(guān)于品牌的選擇:    工

44、業(yè)及車載的高可靠應(yīng)用西門子是第一選擇,Motorola和索愛的也不錯,但其不如前者的供貨及技術(shù)支持好。一般工業(yè)及車載應(yīng)用但對成本較敏感的話,可以用Simcom或Wavecom的。普通環(huán)境及成本高度敏感的,建議用BenQ的。   附:論文資料 關(guān)于PDU格式的短信息發(fā)送和接收摘要:本文介紹了GSM通信模塊TC35的中文短消息收發(fā)格式,然后舉例解釋了實際操作過程,最后介紹與微處理器的接口方法。關(guān)鍵詞:TC35通信模塊  短消息引言    由于中文短消息具有內(nèi)容直觀,傳送距離遠,用戶無需建造信號中轉(zhuǎn)站,成本相對低廉,因此在控制領(lǐng)域

45、的應(yīng)用日益廣泛。SIEMENS的TC35型GSM通信模塊在國內(nèi)應(yīng)用比較廣泛,然而很多技術(shù)人員在剛開始使用時,有許多不甚清楚的地方,甚至無從下手,因此有必要把有關(guān)的基礎(chǔ)知識和經(jīng)驗寫出來供大家參考。短消息的格式及解釋    由于參考資料幾乎全是英文資料,因此本文亦寫出英文縮寫,以方便讀者閱讀其他材料。    短消息接收格式如下:    格式中英文縮寫的解釋如下:    SCA  Service Center Address  短消息服務(wù)中心地址,1-12位數(shù)字

46、    PDU-type  Protocol Data Unit Type  協(xié)議數(shù)據(jù)單元類型,1位數(shù)字    MR Message Reference   由手機設(shè)置的短消息遞交幀的遞交數(shù)字(0-255),1位數(shù)字    OA  Originator Address    發(fā)送方地址,即發(fā)送的手機號碼,2-12位數(shù)字    DA  Destination Address &#

47、160; 接收方地址,即接收的手機號碼,2-12位數(shù)字    PID  Protocol Identifier    協(xié)議識別符,1位數(shù)字    DCS  Data Coding Scheme  數(shù)據(jù)譯碼方案,1位數(shù)字    SCTS  Service Center Time Stamp  服務(wù)中心收到短消息的時間,7位數(shù)字    VP  Validity Period  短消

48、息發(fā)送的合法期限,0、1或7位數(shù)字    UDL  User Data Length  用戶數(shù)據(jù)長度,1位數(shù)字    UD  User Data 用戶數(shù)據(jù),0-140位數(shù)字    RP  Reply Path  短消息回復(fù)的路徑    UDHI  User Data Header Indicator  用戶數(shù)據(jù)區(qū)包含的報頭    SRI  Status Report

49、Indication  這個參數(shù)指明移動設(shè)備是否需要狀態(tài)報告    SRR  Status Report Request  這個參數(shù)指明移動站是否需要一個狀態(tài)報告    VPF  Validity Period Format  指示VP區(qū)是否存在    MMS  More Message to Send  指示是否有更多的消息將被發(fā)送    RD  Reject Duplicate  拒

50、絕重復(fù)    MTI  Message Type  Indicator  消息類型指示,00-消息接收,01-消息發(fā)送 短消息的收發(fā)舉例    對TC35的操作均采用AT指令。指令內(nèi)容均為ASCII碼,短消息的中文編碼方式為UNICODE碼。一短消息的發(fā)送     例如要發(fā)送字符“寧波大學(xué)”到手機(3167862334F5)中,則首先把字符轉(zhuǎn)換成UNICODE代碼,轉(zhuǎn)換軟件可以采用Chinese 2 Unicode convertor或GBCCovert.exe等,

51、可在互聯(lián)網(wǎng)中下載。經(jīng)轉(zhuǎn)換,“寧波大學(xué)”的Unicode代碼是5B81 6CE2 5927 5B66。則TC35的指令是    AT+CMGF=0  設(shè)置TC35為PDU格式    AT+CMGS=023   發(fā)送短消息指令,023為本短消息發(fā)送字符總長度(可能不包括短信中心部分,本人并未證實)這是發(fā)送內(nèi)容    代碼意義解釋如下:    00  SCA,以00寫入,意味著使用默認(rèn)的服務(wù)中心地址,該服務(wù)中心地址用AT+CSCA指

52、令寫入    11  PDU類型    00  MR 消息參考    0D  LEN 接收方號碼長度    91  TYPE  91表示號碼是國際代碼,81是國內(nèi)代碼    683167862334F5  DA 接收方號碼,注意號碼的每個字節(jié)是高低位互換的,末尾號碼是半字節(jié)的話,另外半字節(jié)用F替代。    00  PID 協(xié)議識別 

53、60;  08  DCS 數(shù)據(jù)編碼結(jié)構(gòu)    01  VP  短消息有效發(fā)送時間,01表示10分鐘,具體見參考文獻1第11頁    08  UDL  用戶數(shù)據(jù)長度    5B816CE259275B66  UD 用戶數(shù)據(jù),即字符“寧波大學(xué)”    如果發(fā)送成功,TC35回送以下信息    +CMGS: X  這里的X是個數(shù)字(短信發(fā)送條數(shù)) 

54、60;  OK    OK表示發(fā)送成功,否則在一段時間的嘗試后返回ERROR。注意:1.如果發(fā)送短消息指令中指明的總長度和實際發(fā)送的短消息長度不符的話,TC35將拒絕發(fā)送。    2.上述指令的代碼是十六進制代碼,發(fā)送到TC35的短消息代碼是ASCII碼,因此例如上述發(fā)送的代碼是0011000D91. 送到TC35代碼應(yīng)該是30 30 31 31 30 30 30 44 39 31 3.所有傳送到TC35的指令必須以ASCII代碼0D 0A結(jié)尾,表示向TC35傳送的一條指令結(jié)束。因此在實際發(fā)送的操作中應(yīng)發(fā)送的代碼如下:AT+

55、CMGS=023  發(fā)送41 54 2B 43 4D 47 53 3D 30 32 33 0D 0A    0011000D91.  發(fā)送30 30 31 31 30 30 30 44 39 31.0D 0A    同樣,發(fā)送AT+CMGF=0指令的代碼是41 54 2B 43 4D 47 46 3D 30 0D 0A 二短消息的接收    例如接收到的短消息代碼是我們可這樣判讀    08 LEN SCA字節(jié)長度(長度值包括代碼類別的字節(jié)長度) 

56、;   91 type of  Number  號碼類型(國內(nèi)/國際)    68 31 10 30 07 05 F0  SCA 服務(wù)中心號碼是(對末尾的半字節(jié)空位以F替代)    84  PDU-type  PDU類型    0D  Len 發(fā)信方地址長度    91  PDU-type協(xié)議類別    683130643065F7 OA 發(fā)信方號碼是(對末

57、尾的半字節(jié)空位以F替代)    00  PID 協(xié)議識別    08  DCS數(shù)據(jù)譯碼方案信息中心接收到數(shù)據(jù)的時間是2003年1月31日11點4分31秒,時間區(qū)為00.    04  UDL 數(shù)據(jù)長度為4個字節(jié)    6D4B8BD5  UD 用戶數(shù)據(jù),Unicode碼(字符“測試”)TC35與微處理器的硬件接口方法    TC35與微處理器只要連接好串口的TXD、RXD通信線,IGT啟動腳,外接SIM卡以

58、及電源即可正常工作。連接方法詳見參考文獻3。    TC35的串行口為自適應(yīng)波特率,范圍是1200-115200bps,但是筆者推薦使用9600,N,1格式。    TC35的串行接口電平是0-3.3V,然而我們常用的微處理器接口電平為0-5V,因此必須做電平轉(zhuǎn)換。實踐證明,若不做電平轉(zhuǎn)換,則在大批量生產(chǎn)中產(chǎn)品質(zhì)量不穩(wěn)定。TC35與微處理器接口連接完成后,建議首先用ATI指令(顯示產(chǎn)品識別信息)對串口連接進行測試,該指令返回內(nèi)容如下:    SIEMENSTC35    RE

59、VISION x.yy  (x.yy是版本號)注意:ATI指令必須以0D 0A結(jié)尾。    如果用戶對AT指令不甚明了,建議首先采用PC機調(diào)試TC35,因為AT指令的細(xì)節(jié)還是有不少的。連接方法是PC機串口經(jīng)MAX232等電平轉(zhuǎn)換電路與TC35連接,調(diào)試軟件采用串口調(diào)試助手等軟件即可。    TC35的供電范圍是,電源容量要求2A。在實踐中發(fā)現(xiàn),電源容量偏小或電源紋波過大,都會使TC35工作不穩(wěn)定。    TC35的啟動是通過拉低IGT腳100毫秒以上即可。 為了方便大家進一步開發(fā)和拓展本模塊,我

60、為大家附上部分AT指令集 附:一、一般命令A(yù)T+CGMI給出模塊廠商的標(biāo)識。 SONY ERICSSONAT+CGMM獲得模塊標(biāo)識。這個命令用來得到支持的頻帶(GSM900,DCS1800或PCS1900)。當(dāng)模塊有多頻帶時,回應(yīng)可能是不同頻帶的結(jié)合。 AAB-1021011-CNAT+CGMR獲得改訂的軟件版本。 R6C005      CXC125582CHINA1AT+CGSN獲得GSM模塊的IMEI(國際移動設(shè)備標(biāo)識)序列號。AT+CSCS選擇TE特征設(shè)定。這個命令報告TE用的是哪個狀態(tài)設(shè)定上的ME。ME于是可以轉(zhuǎn)換每一個輸入的或顯示的

61、字母。這個是用來發(fā)送、讀取或者撰寫短信。AT+CSCS?+CSCS: "GSM"AT+WPCS設(shè)定電話簿狀態(tài)。這個特殊的命令報告通過TE電話簿所用的狀態(tài)的ME。ME于是可以轉(zhuǎn)換每一個輸入的或者顯示的字符串字母。這個用來讀或者寫電話簿的入口。NONEAT+CIMI獲得IMSI。這命令用來讀取或者識別SIM卡的IMSI(國際移動簽署者標(biāo)識)。在讀取IMSI之前應(yīng)該先輸入PIN(如果需要PIN的話)。460001711603161AT+CCID獲得SIM卡的標(biāo)識。這個命令使模塊讀取SIM卡上的EF-CCID文件。NONEAT+GCAP獲得能力表。(支持的功能)+GCAP: +FC

62、LASS, +CGSM, +DSA/ 重復(fù)上次命令。只有A/命令不能重復(fù)。這命令重復(fù)前一個執(zhí)行的命令。AT+CPOF關(guān)機。這個特殊的命令停止GSM軟件堆棧和硬件層。命令A(yù)T+CFUN=0的功能與+CPOF相同。AT+CFUN設(shè)定電話機能。這個命令選擇移動站點的機能水平。AT+CPAS返回移動設(shè)備的活動狀態(tài)。AT+CMEE報告移動設(shè)備的錯誤。這個命令決定允許或不允許用結(jié)果碼“+CMEERROR:”或者“+CMSERROR:”代替簡單的“ERROR”。AT+CKPD小鍵盤控制。仿真ME小鍵盤執(zhí)行命令。AT+CCLK時鐘管理。這個命令用來設(shè)置或者獲得ME真實時鐘的當(dāng)前日期和時間。AT+CCLK?+C

63、CLK: "04/08/12,17:00:42+32"AT+CALA警報管理。這個命令用來設(shè)定在ME中的警報日期/時間。(鬧鈴)AT+CALA=?+CALA: (1-2),(),(),(13),()AT+CRMP鈴聲旋律播放。這個命令在模塊的蜂鳴器上播放一段旋律。有兩種旋律可用:到來語音、數(shù)據(jù)或傳真呼叫旋律和到來短信聲音。AT+CRSL設(shè)定或獲得到來的電話鈴聲的聲音級別。NONE二、呼叫控制命令A(yù)TD撥號命令。這個命令用來設(shè)置通話、數(shù)據(jù)或傳真呼叫。ATH掛機命令。ATA接電話。AT+CEER擴展錯誤報告。這個命令給出當(dāng)上一次通話設(shè)置失敗后中斷通話的原因。AT+VTD給用戶提

64、供應(yīng)用GSM網(wǎng)絡(luò)發(fā)送DTMF(雙音多頻)雙音頻。這個命令用來定義雙音頻的長度(默認(rèn)值是300毫秒)。AT+VTS給用戶提供應(yīng)用GSM網(wǎng)絡(luò)發(fā)送DTMF雙音頻。這個命令允許傳送雙音頻。ATDL重?fù)苌洗坞娫捥柎a。AT%Dn數(shù)據(jù)終端就緒(DTR)時自動撥號。ATS0自動應(yīng)答。AT+CICB來電信差。AT+CSNS單一編號方案。AT+VGRAT+VGT增益控制。這個命令應(yīng)用于調(diào)節(jié)喇叭的接收增益和麥克風(fēng)的傳輸增益。AT+CMUT麥克風(fēng)靜音控制。AT+SPEAKER喇叭/麥克風(fēng)選擇。這個特殊命令用來選擇喇叭和麥克風(fēng)。AT+ECHO回音取消。AT+SIDET側(cè)音修正。AT+VIP初始化聲音參數(shù)。AT+DUI用

65、附加的用戶信息撥號。AT+HUI用附加的用戶信息掛機。AT+RUI接收附加用戶信息。三、網(wǎng)絡(luò)服務(wù)命令A(yù)T+CSQ信號質(zhì)量。+CSQ: 17,99AT+COPS服務(wù)商選擇。AT+COPS?+COPS: 0,0,"China Mobile"AT+CREG網(wǎng)絡(luò)注冊。獲得手機的注冊狀態(tài)。AT+CREG?+CREG: 0,1AT+WOPN讀取操作員名字。NONEAT+CPOL優(yōu)先操作員列表。NONE四、安全命令A(yù)T+CPIN輸入PIN。AT+CPIN2輸入PIN2。AT+CPINCPIN的剩余的嘗試號碼。AT+CLCK設(shè)備鎖。AT+CPWD改變密碼。五、電話簿命令A(yù)T+CPBS選擇電

66、話簿記憶存儲。AT+CPBS?+CPBS: "SM"AT+CPBR讀取電話簿表目。 AT+CPBF查找電話簿表目。AT+CPBW寫電話簿表目。AT+CPBP電話簿電話查詢。AT+CPBN電話簿移動動作。這個特殊命令使電話簿中的條目前移或后移(按字母順序)AT+CNUM簽署者號碼。AT+WAIP防止在下一次重起時初始化所有的電話簿。AT+WDCP刪除呼叫電話號碼。NONEAT+CSVM設(shè)置語音郵件號碼。六、短消息命令A(yù)T+CSMS選擇消息服務(wù)。支持的服務(wù)有GSM-MO、SMS-MT、SMS-CB。AT+CNMA新信息確認(rèn)應(yīng)答。AT+CPMS優(yōu)先信息存儲。這個命令定義

67、用來讀寫信息的存儲區(qū)域。AT+CMGF優(yōu)先信息格式。執(zhí)行格式有TEXT方式和PDU方式。AT+CSAS保存設(shè)置。保存+CSAS和+CSMP的參數(shù)。AT+CRES恢復(fù)設(shè)置。AT+CSDH顯示文本方式的參數(shù)。AT+CNMI新信息指示。這個命令選擇如何從網(wǎng)絡(luò)上接收短信息。AT+CMGR讀短信。信息從+CPMS命令設(shè)定的存儲器讀取。AT+CMGL列出存儲的信息。AT+CMGS發(fā)送信息。AT+CMGW寫短信息并存儲。AT+CMSS從存儲器中發(fā)送信息。AT+CSMP設(shè)置文本模式的參數(shù)。AT+CMGD刪除短信息。刪除一個或多個短信息。AT+CSCA短信服務(wù)中心地址。AT+CSCB選擇單元廣播信息類型。AT+WCBM單元廣播信息標(biāo)識。AT

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論